Dr. Qinghuang Lin
President
SPIE Involvement:
Conference Program Committee | Symposium Chair | Editorial Board Member: Journal of Micro/Nanolithography, MEMS, and MOEMS | Editorial Board Member: Journal of Micro/Nanopatterning, Materials, and Metrology | Author | Editor | Instructor
Publications (22)

SPIE Journal Paper | 9 August 2023 Open Access
JM3, Vol. 22, Issue 03, 031201, (August 2023) https://doi.org/10.1117/12.10.1117/1.JMM.22.3.031201
KEYWORDS: 3D metrology, Metrology, Semiconductors, 3D equipment, Transistors, Interfaces, Gallium arsenide, Fin field effect transistors, X-rays, Sum frequency generation

SPIE Journal Paper | 13 July 2023 Open Access Video Abstract Content
JM3, Vol. 22, Issue 03, 030701, (July 2023) https://doi.org/10.1117/12.10.1117/1.JMM.22.3.030701
KEYWORDS: Standards development, Metrology, Semiconductors, X-rays, X-ray technology, Scattering, Lead, 3D metrology, 3D imaging standards

SPIE Journal Paper | 23 December 2013 Open Access
JM3, Vol. 12, Issue 04, 041301, (December 2013) https://doi.org/10.1117/12.10.1117/1.JMM.12.4.041301
KEYWORDS: CMOS technology, Optical lithography, Plasma etching, Etching, Plasma, Semiconductors, Lithography, Extreme ultraviolet, Line edge roughness, Directed self assembly

Proceedings Article | 17 March 2012 Paper
J. Shohet, H. Ren, M. Nichols, H. Sinha, W. Lu, K. Mavrakakis, Q. Lin, N. Russell, M. Tomoyasu, G. Antonelli, S. Engelmann, N. Fuller, V. Ryan, Y. Nishi
Proceedings Volume 8328, 83280I (2012) https://doi.org/10.1117/12.917967
KEYWORDS: Plasma, Dielectrics, Ions, Vacuum ultraviolet, Ultraviolet radiation, Synchrotrons, Silicon, Nitrogen, Oxygen, Plasma systems

Proceedings Article | 16 April 2011 Paper
Qinghuang Lin, A. Nelson, L. Bozano, P. Brock, S. Cohen, B. Davis, R. Kwong, E. Liniger, D. Neumayer, J. Rathore, H. Shobha, R. Sooriyakumaran, S. Purushothaman, R. Miller, R. Allen, T. Spooner, R. Wisnieff
Proceedings Volume 7972, 79721A (2011) https://doi.org/10.1117/12.881571
KEYWORDS: Dielectrics, Electron beam lithography, Lithography, Photomasks, Silicon, Scanning electron microscopy, Scanners, Copper, Back end of line, Optical lithography

Showing 5 of 22 publications
Proceedings Volume Editor (9)

SPIE Conference Volume | 25 July 2016

SPIE Conference Volume | 23 April 2015

SPIE Conference Volume | 22 April 2014

SPIE Conference Volume | 16 April 2013

SPIE Conference Volume | 16 April 2012

Showing 5 of 9 publications
Conference Committee Involvement (41)
Advanced Etch Technology and Process Integration for Nanopatterning XIV
23 February 2025 | San Jose, California, United States
Advances in Patterning Materials and Processes XLII
23 February 2025 | San Jose, California, United States
Advanced Etch Technology and Process Integration for Nanopatterning XIII
26 February 2024 | San Jose, California, United States
Advances in Patterning Materials and Processes XLI
26 February 2024 | San Jose, California, United States
SPIE Advanced Lithography + Patterning
25 February 2024 | San Jose, United States
Showing 5 of 41 Conference Committees
Course Instructor
SC992: Lithography Integration for Semiconductor FEOL & BEOL Fabrication
Semiconductor wafer fabrication, traditionally including Front-End-Of-The-Line (FEOL), Middle-Of-The-Line, (MOL), and Back-End-Of-The-Line (BEOL), constitutes the entire process flow for manufacturing modern computer chips. The typical FEOL processes include wafer preparation, isolation, well formation, gate patterning, spacer, extension and source/drain implantation, silicide formation, and dual stress liner formation. The MOL is mainly gate contact formation, which is an increasingly challenging part of the whole fabrication flow, particularly for lithography patterning. The BEOL processes include dielectric film deposition, patterning, metal fill and planarization by chemical mechanical polishing. The state-of-the-art semiconductor chips, the so called 5 nm node of Complementary Metal–Oxide–Semiconductor (CMOS) chips, in mass production features the fifth generation three-dimensional (3D) FinFET, a minimum metal pitch of about 28 nm and copper (Cu)/low-k interconnects. It is the second generation of logic chips fabricated with extreme ultra-violet (EUV) lithography. The Cu/low-k interconnects are fabricated predominantly with a dual damascene process using plasma-enhanced CVD (PECVD) deposited interlayer dielectric (ILDs), PVD Cu barrier and electrochemically plated Cu wire materials. Successful fabrication and qualification of modern semiconductor chip products requires a deep understanding of the intricate interplay between the materials and the processes employed. This course provides an overview of modern semiconductor wafer fabrication process flow, its integration schemes, fabrication unit processes and key factors affecting yields. It highlights unique challenges in lithography for FEOL, MOL and BEOL and discusses potential solutions as well as practical techniques. The goal of this course is to provide materials, process, integration and lithography engineers with a fundamental basis to develop materials and processes for FEOL, MOL and BEOL patterning and to trouble shoot fabrication problems. This course will also introduce new materials (such as high mobility channel materials, high-K/metal gate or HKMG, III-V materials, non-copper BEOL metals), new device and interconnect structures (such as, gate-all-around transistor, FinFET/ Trigate, nanowires, self-aligned via integration, Cu/air-gap interconnects, buried power rails, PowerVia) and new integrations (such as 3D IC, Through-Silicon Via or TSV, 3D heterogeneous integration, hybrid bonding) as well as recent advances in lithography technology (such as double patterning, EUV lithography, high-NA EUV and directed self-assembly, DSA). Implications of these FEOL, MOL and BEOL technologies for lithography will be discussed.
SC833: Lithography Integration for Semiconductor Back-End-Of-The-Line (BEOL)
Semiconductor Back-End-Of-The-Line (BEOL) or interconnect constitutes the bulk of the film stack and the fabrication cost of modern computer chips. The state-of-the-art BEOL features Cu/low-<i>k</i> interconnects with a dielectric constant (<i>k</i>) of the low-<i>k</i> material of less than 3.0. These Cu/low-<i>k</i> interconnects are fabricated predominantly with a dual damascene process using plasma-enhanced CVD (PECVD) deposited interlayer dielectric (ILDs) and PVD Cu barrier materials. Successful fabrication and qualification of modern semiconductor BEOL requires a deep understanding of the intricate interplay between the materials and the processes employed. This course provides an overview of modern semiconductor BEOL, its integration schemes and fabrication processes. It highlights unique challenges in lithography for BEOL and discusses potential solutions as well as practical techniques. The goal of this course is to provide materials and lithography engineers a fundamental basis to develop materials and processes for BEOL patterning and to trouble shoot BEOL fabrication problems.
SIGN IN TO:
  • View contact details

UPDATE YOUR PROFILE
Is this your profile? Update it now.
Don’t have a profile and want one?

Advertisement
Advertisement
Back to Top