Paper
1 April 2009 Sub-20 nm trench patterning with a hybrid chemical shrink and SAFIER process
Yijian Chen, Xumou Xu, Hao Chen, Liyan Miao, Pokhui Blanco, Man-Ping Cai, Chris S. Ngai
Author Affiliations +
Abstract
Chemical shrink and SAFIER are two resist shrinking processes that have been proved effective to reduce the trench and contact hole CD with enhanced resolution and process windows. Patterning sub-20 nm trenches, however, is found to be challenging using a single shrink process. To shrink resist trenches from 40-60 nm to sub-20 nm, a double shrink process seems more promising and we have studied the double chemical shrink, double SAFIER, and other possibilities. It is found that SAFIER process is capable of shrinking trenches by more than 30 nm with improved LER, but it suffers from severe CD non-uniformity (e.g., much smaller trenches at wafer center) induced by high SAFIER bake temperature applied to resists to obtain large shrinkage. Chemical shrink can also result in a fairly large shrinkage at high bake temperature, but LER is poor with no improvement in CDU. A novel hybrid process to combine chemical shrink (first) and SAFIER (last) together is proposed and developed. We find that this hybrid approach avoids the disadvantages of two mentioned shrinking processes and has the capability of patterning sub-20 nm trenches in resists with manufacturable process window, CDU and LER. Oxide and nitride etching process with APF (Advanced Patterning Film) as a hard mask is developed and sub-20 nm oxide/nitride trench patterning with excellent LER and acceptable CDU is achieved. APF hard mask is found to significantly improve CDU and LER of small trenches. Relations between CD/shrinkage and process temperature, pitch, and mask trench CD are investigated and the experimental results will be presented in this paper.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yijian Chen, Xumou Xu, Hao Chen, Liyan Miao, Pokhui Blanco, Man-Ping Cai, and Chris S. Ngai "Sub-20 nm trench patterning with a hybrid chemical shrink and SAFIER process", Proc. SPIE 7273, Advances in Resist Materials and Processing Technology XXVI, 72733I (1 April 2009); https://doi.org/10.1117/12.814382
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Photoresist processing

Semiconducting wafers

Optical lithography

Etching

Photomasks

Scanning electron microscopy

Back to Top