Paper
6 May 2005 S-FIL technology: cost of ownership case study
Author Affiliations +
Abstract
The escalating costs of lithography for the sub 90nm regime have been well documented. The semiconductor industry is exploring evolutionary improvements to existing photolithographic techniques as well as disruptive, but cost effective patterning technologies for the demanding high-resolution requirements. Step and Flash Imprint Lithography (S-FIL) is an innovative patterning technology commercialized by Molecular Imprints. S-FIL has demonstrated the capability to pattern very high-resolution features and has been recognized as an NGL candidate by inclusion on the ITRS Roadmap in December 2003. This paper describes the S-FIL process and examines its comparative cost of ownership relative to conventional photolithography at the 90nm node and to immersion photolithography at the 65nm node for patterning contacts and dual damascene.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sunil Murthy, Michael Falcon, S. V. Sreenivasan, and Daren Dance "S-FIL technology: cost of ownership case study", Proc. SPIE 5751, Emerging Lithographic Technologies IX, (6 May 2005); https://doi.org/10.1117/12.599921
Lens.org Logo
CITATIONS
Cited by 14 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical lithography

Lithography

Semiconducting wafers

Photomasks

Etching

Photoresist processing

Metals

Back to Top