24 September 2018 Multibeam mask writer MBM-1000
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Takao Tamura, Kenji Ohtoshi
Author Affiliations +
Abstract
The multibeam mask writer MBM-1000 is developed for semiconductor production for the 5-nm technology node. It is designed to accomplish high patterning resolution with a 10-nm beam and high throughput with blanking aperture array supporting data transfer rate of 300 Gbps and an inline real-time data path. It has better beam resolution than the EBM-9500 and has higher throughput at a shot count of more than 500 Gshot/pass. To further improve patterning resolution, pixel-level dose correction (PLDC) is implemented to MBM-1000. It enhances dose contrast by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with a threshold dose model. It is concluded that PLDC corrects critical dimension linearity even without extra dose modulation and improves dose margin with additional dose modulation of 140%.
© 2018 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2018/$25.00 © 2018 SPIE
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Takao Tamura, and Kenji Ohtoshi "Multibeam mask writer MBM-1000," Journal of Micro/Nanolithography, MEMS, and MOEMS 17(3), 031205 (24 September 2018). https://doi.org/10.1117/1.JMM.17.3.031205
Received: 30 April 2018; Accepted: 17 August 2018; Published: 24 September 2018
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Modulation

Photomasks

Critical dimension metrology

Optical lithography

Image resolution

Line edge roughness

Photoresist processing

Back to Top