Paper
29 March 2013 Sustainable scaling technique on double-patterning process
Author Affiliations +
Abstract
The double patterning process has become a technology for extending the life of 193-nm immersion lithography. It is the most useful techniques of advancing downscaling in semiconductors and can theoretically be used scale infinitely down. For the self-aligned type of double patterning, such as self-aligned double patterning (SADP), self-aligned triple patterning (SATP), and self-aligned quadruple patterning (SAQP)[1], we have reported that spacer-pattern processing is more difficult than line-pattern processing since the former includes more fluctuating factors, and that improving the performance of the core pattern is essential to solving this problem. Similarly, as calls for even more improvement in line edge roughness (LER) have come to be made, we have investigated the relationship between the core pattern and LER. Thus, given the importance of finding a means of securing pattern fidelity in the core pattern to improve critical dimension uniformity (CDU) and LER, we improved resist contrast resulting in dramatically reduced LER and improved spacer CD uniformity over the wafer surface. This paper presents the results of observing pattern fidelity in the double patterning process from many aspects and the results of testing a technique for high-accuracy management of pattern fidelity.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hidetami Yaegashi, Kenichi Oyama, Arisa Hara, Sakurako Natori, Shohei Yamauchi, and Masatoshi Yamato "Sustainable scaling technique on double-patterning process", Proc. SPIE 8682, Advances in Resist Materials and Processing Technology XXX, 868204 (29 March 2013); https://doi.org/10.1117/12.2011359
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Etching

Double patterning technology

Critical dimension metrology

Extreme ultraviolet

Photoresist processing

Electron beam lithography

RELATED CONTENT


Back to Top