Paper
13 March 2012 Process window control using CDU master
Tomoharu Fujiwara, Tsuyoshi Toki, Daishi Tanaka, Maki Sato, Junichi Kosugi, Rika Tanaka, Naruo Sakasai, Toshio Ohashi, Ryoko Nakasone, Akira Tokui
Author Affiliations +
Abstract
As double patterning techniques such as spacer double/quadruple patterning mature, ArF water immersion lithography is expected to be applied down to the 1x nm hp node or beyond. This will necessitate precise process control solutions to accommodate extremely small process windows. In the case of spacer double/quadruple patterning in particular, CD uniformity of the final feature is strongly related to the lithography performance of the initial pre-spacer feature. CD uniformity of the resist image is affected by many sources. In the case of the exposure tool, CD error on the reticle, as well as exposure dose and focus errors are the key factors. For the resist process, heterogeneity of the stacked resist film thickness, post exposure bake (PEB) plate temperature, and development all have an impact. Furthermore, the process wafer also has error sources that include under-layer non-uniformities or wafer flatness. Fortunately, the majorities of these non-uniformities are quite stable in a volume production process. To improve and maintain the CD uniformity, a technique to calculate exposure dose and focus correction values simultaneously using the measured resist image feature was reported previously [1]. Further, a demonstration of a correction loop using a neural network calculation model was reported in SPIE 2010 [2], and the corrected CD uniformity was less than 1.5 nm (3 sigma) within a wafer. For further improvement, a demonstration of precise dose and focus control using high order field-by-field correction was then reported at SPIE 2011[3]. In that work, the interand intra-field CD uniformities reported were less than 1 nm (3 sigma) respectively. A key aspect of this method is the simultaneous compensation of dose and focus offsets, which successfully maximizes the process margin of a target pattern. The Nikon CDU Master then derives the optimal control parameters for each compensation function in the scanner using the exposure dose and focus correction data, with the NSR-S620 scanner having the capabilities to also control higher order dose and focus distribution. This high degree of controllability ultimately enables precise correction of the complicated CD error distribution that is caused by heterogeneities in the process. In this work, this correction concept was expanded to include contact hole CD uniformity optimization and quick correction method using the AMI-3500 auto macro inspection tool. A 3D contour analysis method is used for contact hole CDs measured by CD-SEM. The contact hole CD is then corrected directly without using any other monitor pattern features. Further, using the Nikon AMI-3500 system, it is possible to successfully extract the adjustment components using the optical diffraction image. Since the AMI measurement time is very quick (just a few minutes), a regular correction loop using the AMI may be a promising solution for system auto correction in an IC manufacturing facility.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Tomoharu Fujiwara, Tsuyoshi Toki, Daishi Tanaka, Maki Sato, Junichi Kosugi, Rika Tanaka, Naruo Sakasai, Toshio Ohashi, Ryoko Nakasone, and Akira Tokui "Process window control using CDU master", Proc. SPIE 8326, Optical Microlithography XXV, 83260Q (13 March 2012); https://doi.org/10.1117/12.916227
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Reticles

Diffraction

Inspection

Lithography

Data corrections

Process control

Back to Top