Paper
30 October 2007 Mask characterization for double patterning lithography
Author Affiliations +
Abstract
Double patterning (DPT) lithography is seen industry-wide as an intermediate solution for the 32nm node if high index immersion as well as extreme ultraviolet lithography are not ready for a timely release for production. Apart from the obvious drawbacks of additional exposure, processing steps and the resulting reduced throughput, DPT possesses a number of additional technical challenges. This relates to, e.g., exposure tool capability, the actual applied process in the wafer fab but also to mask performance and metrology. In this paper we will address the mask performance. To characterize the mask performance in an actual DPT process, conventional parameters need to be re-evaluated. Furthermore new parameters might be more suitable to describe mask capability. This refers to, e.g., reticle to reticle overlay but also to CD differences between masks of a DPT reticle set. A DPT target of reticle to reticle induced overlay of 6nm, 3σ at mask level was proposed recently for the 32nm node. The results show that this target can be met. Besides that, local CD variations and local displacement become critical. Finally, the actual mask metrology for determination of these parameters might not be trivial and needs to be set up and characterized properly. In this paper we report on the performance of two-reticle sets based on a design developed to study the impact of mask global and local placement errors on a DPT dual line process. In a first step we focus on reticle to reticle overlay. The overlay between two masks evaluated for different wafer overlay targets is compared with measurements on actual resolution structures. In a second step, mask to mask CD variations are addressed. Off-target CD differences as well as variations of CD signatures on both reticles of a set are investigated. Finally, local CD variations and local displacements are examined. To this aim, local variations of adjacent structures on the reticle are characterized. The contribution of local effects to the overall CD and registration budget is estimated.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Karsten Bubke, Eric Cotte, Jan Hendrik Peters, Robert de Kruif, Mircea Dusa, Joerg Fochler, and Brid Connolly "Mask characterization for double patterning lithography", Proc. SPIE 6730, Photomask Technology 2007, 67301H (30 October 2007); https://doi.org/10.1117/12.747006
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Reticles

Critical dimension metrology

Overlay metrology

Image registration

Semiconducting wafers

Lithography

RELATED CONTENT

In-die mask registration for multi-patterning
Proceedings of SPIE (September 16 2013)
In-Die registration metrology: design data preparation solution
Proceedings of SPIE (September 24 2010)
New directions in image placement metrology
Proceedings of SPIE (April 01 2011)
Registration metrology on double patterning reticles
Proceedings of SPIE (May 27 2009)

Back to Top