Paper
11 April 2006 Immersion topcoat and resist material improvement study by using immersion scanner
Hiroki Nakagawa, Kenji Hoshiko, Motoyuki Shima, Shiro Kusumoto, Tsutomu Shimokawa, Katsushi Nakano, Tomoharu Fujiwara, Soichi Owa
Author Affiliations +
Abstract
ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. Immersion lithography enables the design of hyper numerical aperture (NA>1) lens systems by filling the gap between lens and resist with an immersion medium. Water is the ideal medium for 193nm immersion lithography and the water immersion system could reach up to 1.3 NA, giving higher resolution capability. There are several immersion specific requirements such as scanning properties and leaching characteristics. High speed scanning is necessary for mass production, so the water has to follow the lens and move on the resist film at considerably high speed. Direct water contact with resist film can cause the leaching of some resist components e.g. PAG. This leaching phenomenon could cause lithographic performance degradation and lens damage. Leaching and scanning phenomena are quite complicated and difficult to estimate the amount exactly with chemical analysis tools, so it is important to check the lithographic performance and scanning capability with a real immersion scanner. We have done many immersion experiments on various resists and top-coat materials using a Nikon immersion scanner (EET: Engineering Evaluation Tool). From the results, it was found that the properties of topcoat materials were closely related with immersion characteristics, such as scanning speed and defect formation. Specifically, defectivity evaluation results revealed that PAG leaching suppression was important for not only preventing lens damage but also reducing defect formation in the immersion process.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroki Nakagawa, Kenji Hoshiko, Motoyuki Shima, Shiro Kusumoto, Tsutomu Shimokawa, Katsushi Nakano, Tomoharu Fujiwara, and Soichi Owa "Immersion topcoat and resist material improvement study by using immersion scanner", Proc. SPIE 6153, Advances in Resist Technology and Processing XXIII, 61530D (11 April 2006); https://doi.org/10.1117/12.655541
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Scanners

Water

Lithography

Immersion lithography

Resonance energy transfer

Photoresist materials

Back to Top