Paper
24 May 2004 90-nm lithography process characterization using ODP scatterometry technology
Chih-Ming Ke, Shinn-Sheng Yu, Yu-Hsi Wang, Yu-Jun Chou, Jeng-Horng Chen, Bih-Huey Lee, Hong-Yuan Chu, Hua-Tai Lin, Tsai-Sheng Gau, Chih-Hsiang Lin, Yao-Ching Ku, Burn Jeng Lin, Jacky Huang, J. J. Hsu, Victor Liu, Dave Hetzer, Lip Yap, Wenge Yang, Kaoru Araki
Author Affiliations +
Abstract
CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chih-Ming Ke, Shinn-Sheng Yu, Yu-Hsi Wang, Yu-Jun Chou, Jeng-Horng Chen, Bih-Huey Lee, Hong-Yuan Chu, Hua-Tai Lin, Tsai-Sheng Gau, Chih-Hsiang Lin, Yao-Ching Ku, Burn Jeng Lin, Jacky Huang, J. J. Hsu, Victor Liu, Dave Hetzer, Lip Yap, Wenge Yang, and Kaoru Araki "90-nm lithography process characterization using ODP scatterometry technology", Proc. SPIE 5375, Metrology, Inspection, and Process Control for Microlithography XVIII, (24 May 2004); https://doi.org/10.1117/12.536123
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Scanning electron microscopy

Semiconducting wafers

Metrology

Transmission electron microscopy

Scatterometry

Finite element methods

Back to Top