Overlay measurement error is a critical technical issue in the production of highly stacked semiconductor devices, including VNAND memory chips, CMOS image sensors, and three-dimensional packages. Complicated device structure and stacked structure increase the measurement error of overlay alignment mark position. Inverse optical design or system optimization is required to improve overlay metrology accuracy and measurement robustness. Illumination light source wavelength, source bandwidth, illumination mode, and imaging pupil filter can be optimized for overlay metrology signal with various kinds of complicated device structure. We proposed a practical inverse optical solution to improve the accuracy of overlay metrology. The inverse optical design consists of overlay mark reflectance estimation and optical configuration optimization. Both the estimation and optimization are accelerated using a quasi-two-dimensional partially coherent imaging model. We achieve more than 50 times faster imaging simulation acceleration compared to a conventional simulation algorithm for partially coherent illumination imaging with practical accuracy. Further improvement can be realized with an introduce of an overlay mark reflectance matrix computed by a rigorous electromagnetic analysis simulation for each specific device structure. This robust and practical inverse solution can help improve the overlay accuracy and manufacturing yield of highly complex three-dimensional devices.
Maskless lithography (ML2) with a scanning high-density spot array has been applied to pattern writing of flat panel displays (FPDs) and printed circuit boards (PCBs). In a ML2 system, spot array diagnostics is necessary to calibrate spot position deviations to achieve exposure pattern uniformity. However, it takes time for the diagnostic including the throughfocus spot profile measurement of a large number of spots (~ 1M spots). Therefore, a high throughput spot diagnostic system is required. We have proposed an alignment-free, high-throughput and cost-effective diagnostic technique with a scanning linear image sensor. Through-focus spot profiles were reconstructed with through-focus scanning signals and response functions of the image sensor pixels. This technique can realize a cost-effective on-machine spot diagnostic system compared to conventional micro-slit scanning systems. We have demonstrated this spot diagnostic with the proofof- concept system. We have confirmed the feasibility in measurements and achieved a lateral position variance of σ < 0.1 μm, (7% of spot size 1.5 μm), an axial position variance of σ < 0.2 μm, (2% of DOF 10 μm) and a telecentricity variance of σ < 1.0 mrad. These variances are then correlating with the level of positioning variances in the stage repeatability. In addition, we also have demonstrated an estimation of low-order wavefront aberrations with a focal spot profile. This onmachine laser spot diagnostic tool could contribute to improve the pattering quality and monitor the optical performance for various focus-spot laser processing systems.
The laser stealth dicing system is a unique wafer processing system to enable high-throughput and debris-free wafer dicing. In the laser stealth dicing system, a focused pulsed laser forms a modification layer and cracks inside a silicon wafer. During pulsed laser radiation and wafer scanning, cracks formed in the previous shot interfere with a focusing laser pulse on a defocus plane. As a result, a part of interacted laser beam scatters, generating back-side splash defects on the device layer. An asymmetric beam shaping of defocused spot becomes necessary to minimize the splash defects. At the same time, the focused spot should maintain sufficiently small focused spot size to generate a modified layer inside the silicon wafer. This paper presents a concept of an innovative focusing spot shaping with an asymmetrical pupil phase filter which is individually optimized for both defocused and focused spots. We optimized the phase filter to generate a threedimensionally- asymmetrical focused spot which deforms defocused spot with a near diffraction-limited focal spot size. The through-focus spot shaping technique is enable to minimize splash defects and improve the yield of the laser wafer dicing process.
We demonstrate the broadband visible luminescence from bulk crystalline silicon and silicon nanoparticles sized 100- 30 nm under near-infrared excitation. We show that the luminescence spectrum has two distinct peaks. The first being centered at 550 nm while the second appears close to the wavelength of the second harmonic of the excitation light. The appearance of the second peak is a signature of the highly athermal electron distribution never observed previously. The luminescence intensity and spectral shape strongly depend on the doping type and concentration. Despite being nonresonant, silicon nanoparticles enhance luminescence intensity when placed atop the silicon wafer. The observed phenomenon can be used for wafer inspection and defect detection, as well as for the creation of novel nanosources of light.
Advances in the semiconductor industry have led the wafer inspection technology to the limit of nanometer-scale defect detection, which is far beyond the diffraction limit. In this regime, the signal-to-noise ratio (SNR) is the figure-of-merit to determine whether the optical system can detect a single nanometer-scale defect. In this paper, we investigated the SNR properties of various line defects using the dark-field inspection with tailored polarized illumination by simulation and experiment. Conventional crossed Nicols configuration with linear polarized illumination and crossed analyzer can minimize background scattering noise and maximize line defect signal only for a specific kind of line defect such as gap or bridge due to strong polarization dependence on a line and space (L/S) pattern. The nulling elliptically polarized illumination is optimized to suppress background scattering noise moderately and maintain defect signal intensity at the same time. We confirmed SNR improvement for both 10 nm open and bridge defects on 40 nm line and space silicon pattern with 40 nm depth. There was a good agreement between our simulation results and experimental results. We experimentally confirmed SNR ~ 4 for both line gap and bridge defect detection on 40 nm L/S patterned wafer with the fixed nulling illumination.
Detection of a single nanoparticle on a bare silicon wafer has been a challenge in the semiconductor industry for decades. Currently, the most successful and widely used technique is dark-field microscopy. However, it is not capable of detecting single sub-10 nm particles owing to a low signal-to-noise ratio (SNR). As a new approach, we suggest using the second harmonic generation (SHG) to detect a single nanoparticle. The second harmonic generation in centrosymmetric materials, like silicon, is forbidden except for a thin and additionally increase local field factors, allowing for their persistent detection. Choosing the proper surface and increasing SNR. We demonstrate the feasibility of the nonlinear dark-field microscopy concept by detecting an isolated 80-nm silicon nanoparticle on the silicon wafer.
For lithography of 45-nm half-pitch and beyond, the resist blur due to photoacid diffusion is a significant issue. On the other hand, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. We study the influence of the resist blur on resolution in hypernumerical aperture ArF immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist performance for some of the latest commercial resists and estimated their acid diffusion lengths as 8 nm to 9 nm in sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, which is directly related to the resist resolution and is controllable by photoacid generator (PAG) anion size, polymer resin size, and post-exposure bake (PEB) temperature. We confirmed that there is a trade-off between resist resolution and sensitivity. Our results indicate that the resist blur is still a concern in order to extend lithography for 45 nm and beyond; however, it will not likely be a showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve ultimate resolution in hyper-NA immersion lithography.
The resist blur due to photoacid diffusion is a significant issue for 45-nm half-pitch node and beyond.
Furthermore, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. In
this paper, we study the influence of the resist blur on resolution and sensitivity in hyper-numerical aperture ArF
immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist
performance for some of the latest commercial resists, and estimated their acid diffusion lengths as 8 to 9 nm in
sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, that is, the resist
resolution was controllable by PAG anion size, polymer resin size, and PEB temperature. We also found that there
was the trade-off between resist resolution and sensitivity. Our results indicated that the resist blur is still a concern
in order to extend ArF lithography for 45-nm half-pitch node and beyond, however, it will not likely be a
showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve
ultimate resolution in hyper-NA immersion lithography.
The chemical amplification provides high sensitivity of resists for deep-uv and extreme-uv (EUV) lithography. On the other hand, the chemical amplification involves photoacid diffusion that causes contrast degradation of the latent image or, in other words, resist blur. We study the influence of the resist blur in high-numerical aperture ArF immersion lithography by using an interferometric exposure tool. The contrast ratio between the resist latent image and the original aerial image was measured for half pitches from 45 to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming a Gaussian blur kernel. The results revealed that the influence of the resist blur is a significant issue for the 45-nm half-pitch node. The reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with a high-index fluid. While our focus is on ArF immersion lithography, our findings are also relevant to EUV lithography.
As the resolution of optical lithography is being pushed for 45-nm half-pitch node, there is a growing concern about the printing capability of chemically amplified resists. The chemical amplification involves photoacid diffusion that causes contrast degradation of latent image or, in other words, resist blur. In this paper, we study the influence of the resist blur in high-NA ArF immersion lithography by using an interferometric exposure tool. Contrast ratio between the resist latent image and the original aerial image was measured for half pitch from 50 nm to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming Gaussian blur kernel. The results revealed that the influence of resist blur is in fact a significant issue for the 45-nm half pitch node. We consider that reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in the exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with high-index immersion fluid. The reduction of acid diffusion will be even more important if the ArF immersion is to be extended beyond 45-nm half-pitch node with high-index fluids. While the focus of the paper is on high-NA ArF immersion lithography, our findings are also relevant to EUV lithography.
As imaging properties of ArF Immersion optics are evaluated in a hyper-NA region, the polarization of illumination systems and vectorial mask diffraction play an important role. We investigate the effectiveness of polarized illumination for practical patterns including the border of dense line-and-space (L/S) patterns, semi-dense L/S patterns, isolated lines, and contact holes. The results show that polarized illumination is effective in projecting many patterns except semi-dense L/S patterns and relatively large contact holes. Secondly, we examine how bias settings of alternating phase-shift masks (AltPSMs) are affected by vectorial mask diffraction, which depends on the polarization of incident light and feature size on the mask. Although a reduction ratio of 8x facilitates bias settings compared with that of 4x, it is necessary to take into account the effect of vectorial mask diffraction even in the case of 8x. Since polarized illumination also simplifies bias settings, the illumination is useful for 4x projection optics.
High-index fluids have recently attracted considerable attention because they are capable of extending the numerical aperture of projection optics beyond the refractive index of water (n=1.44). We study imaging properties of 1.50NA projection optics with an immersion fluid of n=1.64 and the preliminary requirements of fundamental optical characteristics of the fluid.
The recent experimental results of EUV wavefront metrology in EUVA are reported. EUV Experimental Interferometer (EEI) was built at the NewSUBARU synchrotron facility of University of Hyogo to develop the most suitable wavefront measuring method for EUV projection optics. The result is to be reflected on EWMS (EUV Wavefront Metrology System) that measures wavefront aberrations of a six-aspherical mirror projection optics of NA0.25, of a mass-production EUV lithography tool. The experimental results of Point Diffraction Interferometer (PDI) and Lateral Shearing Interferometer (LSI) are shown and the error factors and the sensitivity of astigmatism measurements of these methods are discussed. Furthermore, for reducing these kinds of errors, another type of shearing interferometer called DTI (Digital Talbot interferometer) is newly introduced.
We present selected results of our feasibility study on ArF Immersion lithography from the viewpoint of the exposure-tool development. First, we show that utilizing finite bubble lifetime in degassed water can eliminate air bubbles that are generated by wafer scanning. Second, it is shown that thermal fluctuation of immersion liquid as well as vectorial diffraction effect from the mask is not significant in terms of imaging performance. Third, we demonstrate resist imaging of 60-nm and 45-nm line-and-space patterns in interferometric exposure experiments with an ArF laser at the power level of the actual exposure tools. Fourth, the increase of the depth of focus is confirmed using an alpha exposure tool of ArF immersion. All these results indicate that the ArF immersion lithography is promising for 65-nm half-pitch node and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.