KEYWORDS: Photomasks, Lithography, Electron beams, Logic, Electron beam melting, Line edge roughness, Electron beam lithography, Extreme ultraviolet, Optical lithography, LCDs
Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm
node generation. Various lithography candidates which include ArF immersion with resolution enhancement
technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint
lithography are being developed to address the situation. In such advanced lithography, shot counts of mask
patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask
writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology
with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then,
the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF
immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask
production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm
node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A
newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect
correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and
throughput. In this paper, we will report the configuration and performance of EBM-9500.
NuFlare has started development of multi-beam mask writer MBM-1000 aiming to apply to N5 and to release in Q4
2017. MBM-1000 is based on large area projection optics with shaping aperture array plate, blanking aperture array
(BAA) plate, single cathode and inline/realtime data path for vector data rasterization and bitmap dose correction. It is
designed to accomplish higher throughput than EBM series (variable shaped beam (VSB) writers) with massive beam
array, higher resolution by using 10-nm beam size and 10-bit dose control, and better writing accuracy with more write
passes. Configuration of MBM-1000 and flow of data path processing are described. Write time estimation suggests
MBM-1000 has advantage over VSB writer with shot count > 200 Gshot/pass and resist sensitivity >75 μC/cm2.
Printing test of 20 nm hp 1:1 line and space pattern with ZEP-520 resist showed better beam resolution of MBM-1000
alpha tool than EBM series.
The specifications for critical dimension (CD) accuracy and line edge roughness are getting tighter to promote every photomask manufacturer to choose electron beam resists of lower sensitivity. When the resist is exposed by too many electrons, it is excessively heated up to have higher sensitivity at a higher temperature, which results in degraded CD uniformity. This effect is called “resist heating effect” and is now the most critical error source in CD control on a variable shaped beam (VSB) mask writer. We have developed an on-tool, real-time correction system for the resist heating effect. The system is composed of correction software based on a simple thermal diffusion model and computational hardware equipped with more than 100 graphical processing unit chips. We have demonstrated that the designed correction accuracy was obtained and the runtime of correction was sufficiently shorter than the writing time. The system is ready to be deployed for our VSB mask writers to retain the writing time as short as possible for lower sensitivity resists by removing the need for increased pass count.
Resist heating effect which is caused in electron beam lithography by rise in substrate temperature of a few tens or hundreds of degrees changes resist sensitivity and leads to degradation of local critical dimension uniformity (LCDU). Increasing writing pass count and reducing dose per pass is one way to avoid the resist heating effect, but it worsens writing throughput. As an alternative way, NuFlare Technology is developing a heating effect correction system which corrects CD deviation induced by resist heating effect and mitigates LCDU degradation even in high dose per pass conditions. Our developing correction model is based on a dose modulation method. Therefore, a kind of conversion equation to modify the dose corresponding to CD change by temperature rise is necessary. For this purpose, a CD variation model depending on local pattern density was introduced and its validity was confirmed by experiments and temperature simulations. And then the dose modulation rate which is a parameter to be used in the heating effect correction system was defined as ideally irrelevant to the local pattern density, and the actual values were also determined with the experimental results for several resist types. The accuracy of the heating effect correction was also discussed. Even when deviations depending on the pattern density slightly remains in the dose modulation rates (i.e., not ideal in actual), the estimated residual errors in the correction are sufficiently small and acceptable for practical 2 pass writing with the constant dose modulation rates. In these results, it is demonstrated that the CD variation model is effective for the heating effect correction system.
The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.
KEYWORDS: Photomasks, Metrology, Electron beams, Electron beam lithography, Numerical modeling, Current controlled current source, Time metrology, Scattering
In our previous work, we reported the static portion of the surface charging on EBM-8000 and compared it with that on EBM-6000. The scope of this paper is to report the analysis of charging decay component on EBM-8000 and compare it with EBM-6000. We confirmed that our fundamental modeling scheme of the charging decay worked well on EBM-8000 as well as on EBM-6000. However, we found totally different charging decay behaviors between EBM-8000 and EBM-6000. To explain the results, we propose a conceptual model of the charging decay phenomena both on EBM-8000 and EBM-6000.
KEYWORDS: Photomasks, Electron beam melting, Electron beams, Electron beam lithography, Numerical modeling, Current controlled current source, Metrology, Overlay metrology, Neon
In this paper, we report our modeling results of the resist surface charging effect on our newer e-beam mask writer
EBM-8000. We show that our fundamental modeling scheme we have developed for EBM-6000 can be adapted on
EBM-8000 platform without major modifications. We also discuss the significant differences in the charging effect between
EBM-6000 and EBM-8000 in terms of its amplitude, its spatial distribution, and its dependency on the pattern density.
KEYWORDS: Photomasks, Lithography, Amplifiers, Data storage servers, Mask making, Immersion lithography, Data conversion, Extreme ultraviolet lithography, Data processing, Data corrections
Many lithography candidates, such as ArF immersion lithography with double-patterning/double-exposure techniques,
EUV lithography and nano-imprint lithography, show promising capability for 22-nm half-pitch generation lithography.
ArF immersion lithography with double-patterning/double-exposure techniques remains the leading choice as other
techniques still lack the conclusive evidence as the practical solution for actual production. Each of the prospective
lithography techniques at 22-nm half-pitch generation requires masks with improved accuracy and increased complexity.
We have developed a new electron beam mask writer, EBM-8000, as the tool for mask production of 22-nm half-pitch
generation and for mask development of 16nm half-pitch generation, which is necessary for the practical application of
these promising lithography technologies.
The development of EBM-8000 was focused on increasing throughput and improving beam positioning accuracy. Three
new major features of the tool are: new electron gun with higher brightness to achieve current density of 400 A/cm2,
high speed DAC amplifier to accurately position the beam with shorter settling time, and additional temperature control
to reduce the beam drift.
The improved image placement accuracy and repeatability, and higher throughput of EBM-8000 have been confirmed
by actual writing tests with our in-house tool.
Semiconductor scaling is expected to continue to hp32nm and beyond, accompanied by explosive data volume
expansion. Required minimum feature size at hp 32nm will be less than 50nm on the mask, according to ITRS2007(1).
EBM 7000 is a newly designed mask writer for the hp32 nm node with an improved electron optical column providing
the beam resolution (10 nm measured in situ) and beam current density (200 A/cm2) necessary for cost effective mask
production at hp32nm node. In this paper we report on column improvements, the in situ beam blur measurement
method and writing results from EBM 7000. Written patterns show dose margin (CD change [nm] / 1 % dose change) of
.94 nm /1 % dose for line/space arrays using chemically amplified resist PRL009 and our standard processing. Using a
simple model to relate the measured beam intensity distribution to the measured dose margin, we infer an effective total
blur of 30 nm, dominated by a contribution of 28 nm from the resist exposure and development process. Further
evidence of the dominance of the process contribution is the measured improvement in dose margin to .64 nm/% dose
obtained by modifying our standard process. Even larger process improvements will be needed for successful fabrication
of hp22nm masks.
Extreme ultra-violet (EUV) lithography is one of the leading potential solutions for next generation lithography. Image
placement (IP) errors specific to EUV mask induced by non-telecentricity have to be minimized to satisfy the strict IP
requirement. IP accuracy of EUV mask is considerably influenced by electro-static chuck (ESC) characteristics and
backside non-flatness of each blank when it is held by ESC in EB mask writer, IP metrology tool, and exposure tool as
suggested in SEMI standard. We propose to apply the correction technique to each EUV mask in EB mask writing with
flatness data of blank and ESC to minimize IP errors caused by mask non-flatness and ESC characteristics. In
addition, IP control methodology for EUV mask with conventional IP metrology tool is proposed for pattern writing by
EB mask writer with this correction technique. Early development of EUV mask patterning is enabled by this IP control
methodology without substantial changes to the current mask process.
Image placement (IP) errors caused by electro-static chuck (ESC) and non-flatness of mask are additional factors in
writing extreme ultra-violet (EUV) mask, and minimizing their influences is being fervently addressed. New correction
technique of EBM-6000 has been developed for EUV mask writing based on the conventional grid matching correction
(GMC) without ESC to obtain good reproducibility to satisfy user's requirement to develop EUV mask at an early stage.
Heating effect was evaluated for EBM-6000 which is operated at high current density of 70A/cm2 and acceleration
voltage of 50kV. FEP171 as widely used for current productions and lower sensitivity resists are tested. Lower
sensitivity resist is one of key items to achieve highly accurate Local critical dimension uniformity (LCDU) because of
shot noise reduction.
CD variations in experiment are compared with simulated temperature changes induced by heating effect. Then, the
ratio, ΔCD/ΔT, is found mostly constant for every resist, 0.1 nm/C°.
Writing conditions are estimated to meet CDU spec of hp45 generation for a worst case pattern, i.e. 100% density
pattern. For FEP171, the maximum shot size of 0.85 μm shot size at 2pass writing mode is sufficient. It should be
reduced to 0.5 μm at 2pass writing mode for every lower sensitivity resist. When 4pass writing mode is used, the
maximum shot size of 0.85 μm is available. Writing conditions and writing time for realistic patterns are also discussed.
A stage tracking function has been developed for a mask-scan EB mask writer. Position error of EB mask on an EB-mask-stage induces position error of projection beam on the EB-mask and the position of a writing pattern. The position of the EB-mask is measured by a laser interferometer. The shift from the aimed position is fed back to a mask selection deflection and a main deflection. The velocity of EB-mask stage and specimen-stage is also fed back to the deflection. The deflection control unit for the stage tracking has been made and the tracking function confirmed from the test memory of the unit. Using the unit, scanning writing patterns have been obtained with step and repeat stage mode.
We proposed a new adjustment method for the beam-intensity distribution. On the 2nd shaping aperture plate, a small aperture is positioned sufficiently apart from the aperture for variable shaping. The center of the 1st shaping aperture image is moved to the small aperture by adjusting an alignment coil. The beam current, which passes through the small aperture, is measured by the Faraday cup on the target while the 1st shaping aperture image is scanned over the small aperture by operating the shaping deflector. Using this method the beam-intensity distribution of the 1st shaping aperture image on the 2nd shaping aperture plane is obtained. The beam-intensity distribution obtained is ideally a series of concentric circles and the maximum value is reached at the center circle. The center is shifted when the alignment of the limit aperture at the illumination or at the 1st shaping aperture is incorrect. The position of the maximum in the beam intensity is adjusted to the center of the 1st shaping aperture image. At the beam-current-density of 20 A/cm2, the slope of the distribution is usually under 1 percent. To evaluate the adjustment accuracy, resist profile of drawn pattern is measured by AFM. The resist profiles in a beam shot coincide well with the beam intensity distribution.
KEYWORDS: Magnetism, Chromium, Electron beams, Objectives, Information operations, Quartz, Reticles, Magnetic semiconductors, Semiconductors, Optical simulations
A semi-in-lens electron beam (EB) optical system improves the beam resolution. However, the eddy current is induced in the target and deviates the beam position when the stage is moving continuously. We calculated the eddy current distribution by approximating the magnetic field on the target to a Gaussian distribution. In the mask-scan EB column1 the maximum value and the dispersion of the magnetic field on the target are 0.01 T and 30 mm, respectively. The beam shift due to the eddy current flowing in the Cr film on a reticle is 1.5 X 10-11 m at the stage speed of 0.1 m/s. Therefore, the eddy current does not degrade the positional accuracy.
KEYWORDS: Silicon, Electrons, Sensors, Beam shaping, Photomasks, Monte Carlo methods, Lithography, Etching, Electron beams, Scanning electron microscopy
A new beam-monitoring system for electron-beam lithography is proposed, which can be used for the variably shaped beam (VSB) method, the character projection (CP) method and the electron- beam mask (EB mask) projection method. The system is composed of micro-apertures and a detector placed below the micro- aperture, which is installed at a focal plane of a mask writer. The micro-apertures are formed on a 1-micrometer-thick Si film on which two 200-nm-thick W layers are deposited. A shaped beam is scanning over the micro-aperture, and the electrons that pass through the micro-aperture are directly detected with the detector, so that the two-dimensional shape and size of the beam are measured. The contrast and the signal-to-noise ratio obtained by this system are greatly superior to those obtained by the conventional mark-scanning method.
A deficiency in throughput is one of the main problems for the post-100 nm generation mask writer. Mask-scan writing technology is one of the methods for increasing in the throughput. A large pattern is projected by scanning the electron beam over the mask pattern. We have developed a low aberration optical column to prove the concept of the mask- scan technology. We obtained the EB mask pattern image by scanning the electron beam over the mask. We confirmed the capability of the astigmatism correction by the bias voltage superposed on the main field deflectors.
Novel beam monitoring methods for electron beam lithography systems were studied. In order to achieve high patterning accuracy, precise control of the beam position and of the beam exposure time is important. In conventional electron beam writing system, the written patterns are measured in order to evaluate the accuracy of the writing system. In this paper, two in-situ beam monitoring methods are proposed. One is the beam position monitoring method using a magnification lens and a microchannel plate (MCP) with a CCD camera. The beam image data projected on the MCP were observed using the prototype electron optical system. The beam position could be calculated by an image processing method. Also the simulation result of the conceptual in-situ beam monitoring system was shown. The other one is the beam blanking response measurement method using a fast MCP which has good pulse resolution and a fast response. The MCP output of pulse waveforms correlated with the beam blanking signal were observed with a good time resolution.
Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.
Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.
In order to obtain a precise dose control for proximity effect correction, a fast beam blanking system has been developed which can make possible the fine control of the beam pulse width with precision of less than 1 nanosecond. The system consists of a high precision blanker driving circuit and a blanking structure suitable for fast operation. The blanker driving circuit controls the pulse width by selecting delay line logic with required delay. The pulse width control of less than 1 nanosecond and pulse rising time of less than 10 nanoseconds were achieved. A coaxial structure was adopted for the blanking structure. The simulation study has shown that a blanking structure with low reflectance in a few GHz range is achievable. The pulse passed through an experimental blanking structure without distortion in waveform.
We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.
Experiments concerning silicon oxide deposition using a focused ion beam were carried out in order to apply silicon oxide as insulator in integrated circuit modification. Silicon oxide film was formed using a 25-keV gallium focused ion beam with a mixed gas of 1.3.5.7- tetramethylcyclotetrasiloxane and oxygen. The deposited film consisted of mainly silicon and oxygen, which was analyzed by micro-Auger electron spectroscopy. It also contained 5 percent gallium, but carbon content was below noise level. The ratio of silicon to oxygen was 1 to 2. It was found that carbon content depended on oxygen used as deposition source gas. The resistivities of the eight deposited silicon oxide films were measured. The resistivities wer 28-79 M(Omega) cm at 5 volts and these values did not change significantly even after the samples were left in a room for three months. It was determined that it will be possible to use deposited silicon oxide for integrated circuit modification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.