In this presentation, we describe multi-scale modeling method combining PROLITH lithography simulation with Self-Consistent Field Theory (SCFT) computation of the block copolymer Directed Self-Assembly (DSA). Within this method, we utilize PROLITH to predict the shape of a lithographic feature as function of process conditions. The results of that calculation are then used as input into SCFT simulation to predict the distribution of the matrix and etchable blocks of the DSA polymers (such as PS-b-PDMS or PS-b- PMMA) inside that feature. This method is applied to simple cases (e.g., rectangular trench and cylindrical contact hole), and the self-assembly of various polymers is investigated as a function of their compositions. The new tool could therefore be applied to rapidly design and screen lithographic process conditions together with polymers used to shrink or rectify the features within the DSA technology.
The negative tone development process enables the printing of dark field features on wafer using bright field masks
with a manufacturing capability for back-end-of-line processing. The performance of NTD photoresist has advanced
along two fronts: namely common process window for dense and semi-dense contacts and the resolution and line
width roughness of isolated trenches. Furthermore, the chemistry has evolved by the convergence of capability for
printing line/space and contact hole using a single photoresist formulation. The process performance of a series of
NTD photoresist is reported. Particular focus is placed on process latitude, CDU, thickness control, LWR and
resolution limit.
Anti-reflective layers benefit CD and profile control in high resolution lithography. Traditionally, they have not been applied to implant levels because the required CD control was less stringent than for other layers. When present a traditional BARC film interferes with the doping process unless removed using a costly dry BARC open etch. Nevertheless, as implant features move to evermore challenging k1 values, reflection control becomes more unavoidable; firstly to control residual standing wave structures on the resist profile and secondly to maintain acceptable CD dimensions on substrates which exhibit large reflectivity variations at both the cross-wafer and the wafer-to-wafer level.
An important consideration for this application is to monitor and minimize intermixing between the resist and DBARC layers. Any method to do so must be sensitive to layers less than 10 nm thickness. The use of ellipsometry is investigated in this work to show the degree to which intermixing, if any, is detectable. A method and its requirements are described that predicts intermixing down to Angstrom thickness. Cross-section SEM shows the effect from an optimized process (no intermixing).
In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental
materials/process interaction perspective. Several key differences exist between a negative tone develop
process and a traditional positive tone develop system. For example, the organic solvent dissolves the
unexposed material, while the deprotected resist remains intact. This causes key differences in key
patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity.
We have carried out fundamental studies to understand these new interactions between developer and
remaining resist with negative tone develop systems. We have characterized the dynamic dissolution
behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent
developers. We have also compared contrast curves, and a fundamental model of image collapse. In
addition, we present first results on Optical Proximity Correction (OPC) modeling results of current
Negative Tone Develop (NTD) resist/developer systems.
A simple analysis of aerial image quality reveals that negative tone imaging is superior to positive tone for
small dimension contacts and trenches. Negative Tone Development (NTD) of positive chemically amplified (de-protecting)
photoresist is currently the favored method for realizing such images on the wafer.
When experimental process windows are determined for NTD systems, it is apparent that the results far exceed
the upper limit predicted using current physical modeling. Since real data transcends the capabilities of the current model
to predict, some important physical process is clearly missing. In this work, we explore whether resist shrinkage during
PEB can account for the observed discrepancies. Two very simple shrinkage models are developed and tested. Results
show that shrinkage in the vertical direction explains some profile artifacts observed in actual NTD processes but has
negligible impact on conventional positive tone processes. The horizontal shrinkage model reveals that this type of
phenomenon can significantly increase the exposure latitude of a negative tone process but has marginal impact on
positive tone exposure latitude only introducing a small CD offset.
While horizontal shrinkage does enhance exposure latitude appreciably, the effect does not seem large enough
on its own to account for the entire increase observed in the experimental results. Further work is ongoing to investigate
other potential mechanisms for observed behavior.
Resist CDU and profile control in high-resolution lithography are improved with the use of spin-on bottom anti-reflective coatings (BARCs). Typically, BARCs have not been applied on implant levels because they interfere with the doping process and also because of the cost of performing a reactive-ion etching step to open the BARC in bright areas. As implant CDs shrink to challenging k1 values, reflection control is increasingly required. One solution is to use a reactive-soluble antireflection layer to control CD and profile, thus eliminating the need for an additional etch step. Developable bottom antireflective coatings (DBARCs) provide improved control while minimizing increased cost.
Computer simulation methods are a valuable tool for research and design. Complex reaction phenomena, often difficult to measure experimentally, may be studied within the limits of the models used, and their effect upon the resulting lithography evaluated. In this work, we describe DBARC functionality and application. The physics of a computer model for the study of DBARC is discussed. The effect of the model parameters upon the simulated lithography is discussed. The model is calibrated to experimental data and model predictions are compared to data of resist profiles on DBARC for key features at KrF.
The physical processes that underpin a recently developed commercial stochastic resist model are introduced and the model details discussed. The model is calibrated to experimental data for a commercially available immersion chemically amplified photoresist using basic physical information about the resist and an iterative fitting procedure. This data comprises CD (critical dimension) and LWR (linewidth roughness) measurements through focus and exposure for three separate line-type features on varying pitches: dense, semidense, and isolated. A root mean square error (RMSE) of 2.0 nm is observed between the calibrated model and the experimental CD data. The ability of the calibrated model to predict experimentally observed CD uniformity distributions is tested for a variety of 1-D and 2-D patterns under fixed focus and exposure conditions. The subnanometer RMSE obtained between experiment and simulation suggests that the calibrated stochastic model has excellent predictive power for a variety of applications.
To extend immersion based lithography to below 32nm half pitch, the implementation of Double-Patterning
lithography requires that cost be contained by as many means possible. In addition to CDU and defectivity, simplifying
the process flow is a viable approach to helping accomplish cost containment. For Litho-Litho-Etch processes, this
entails replacing the flows that require spin-on chemical freeze with a solely thermally cured resist approach, thereby
eliminating materials and several process steps from the flow. As part of ongoing efforts to allow Double-Patterning
techniques to further scale semiconductor devices, we use DETO (Double-Expose-Track-Optimized) methods for producing pitch-split patterns capable of supporting 16 and 11-nm node semiconductor devices. In this paper we present the assessment from a series of thermal cure double-patterning resist systems; with a focus on process latitude, CDU, and resolution limit.
KEYWORDS: Stochastic processes, Calibration, Data modeling, Line width roughness, Lithography, Monte Carlo methods, Semiconducting wafers, Scanning electron microscopy, Photoresist materials, 3D modeling
A recently developed stochastic resist model, implemented in the PROLITH X3.1 lithography
simulation tool, is fitted to experimental data for a commercially available immersion ArF photoresist, EPIC
2013 (Dow Electronic Materials). Calibration is performed using the mean CD and LWR values through
focus and dose for three line/space features of varying pitch (dense, semi-dense and isolated). An unweighted
Root Mean Squared Error (RMSE) of approximately 1.6 nm is observed when the calibrated model is
compared to the experimental data. Although the model is calibrated only to mean CD and LWR values, it is
able to accurately predict highly accurate CDU distributions at fixed focus and dose conditions for 1D and 2D
(line end shortening) pattern. It is also shown how the stochastic model can be used to describe the bridging
behavior often observed at marginal focus and exposure conditions.
Experimental work reveals that a thermal cure freeze process can alter the refractive index of a 1st
pass LLE resist designed for that purpose. Although negligible change in the real index (n) is observed at the
actinic wavelength, a 20% increase in the imaginary index (k) occurred. It is also experimentally determined
that a second pass resist coated over a frozen first layer, may have a planar or non-planar surface, depending
upon its' formulation.
Simulation studies show that a non-planarizing 2nd resist will exhibit lensing effects which result in
the 2nd pass resist feature showing sensitivity to the CD and profile of the embedded resist features. Other
simulations suggest that both non-planar 2nd resist surfaces and mismatching resist n & k values can have a
negative impact on the alignment sensitivity of a LLE double patterning process.
KEYWORDS: Stochastic processes, Calibration, Data modeling, Lithography, Line width roughness, Semiconducting wafers, Monte Carlo methods, Scanning electron microscopy, Finite element methods, Photoresist materials
A newly developed stochastic resist model, implemented in a prototype version of the PROLITH
lithography simulation software is fitted to experimental data for a commercially available immersion ArF
photoresist, EPIC 2013 (Dow Electronic Materials). Calibration is performed only considering the mean CD
value through focus and dose for three line/space features of varying pitch (dense, semi-dense and isolated).
An unweighted Root Mean Squared Error (RMSE) of approximately 2.0 nm is observed when the calibrated
model is compared to the experimental data. Although the model is calibrated only to mean CD values, it is
able to accurately predict LER through focus to better than 1.5 nm RMSE and highly accurate CDU
distributions at fixed focus and dose conditions. It is also shown how a stochastic model can be used to the
describe the bridging behavior often observed at marginal focus and exposure conditions.
The CD control of the first lithography (L1) patterns is a important issue in the single-etch double patterning (SEDP)
process. In this process, L1 patterns are cured either chemically or thermally and then subjected to the second
lithography (L2). A chemical curing process using a surface curing agent (SCA) often results in the CD growth due to
the "positive" interaction between the first and second resists. A thermal curing process using a thermal cure resist
(TCR) often results in the CD loss due to the volumetric shrinkage of the L1 patterns during the L2 process. By
combining SCA and TCR concepts, we developed a simple "hybrid" curing system which offers precise control of the
L1 CD after double patterning. This hybrid curing system involves thermal curing followed by a liquid rinse process
using a double patterning primer (DPP). DPP is an aqueous solution formulated with SCA components and enhances
"positive" interaction between L1 and L2 patterns. While CD loss of 5~6nm is observed without DPP treatment, ~11nm
CD growth was observed with TCR after DPP treatment. The L1 CD after double patterning was precisely controllable
by post-priming bake process with the rate of -0.3nm/°C in the temperature ranging from 120 ~ 150°C. Taking
advantage of the CD growth with DPP treatment, we further developed three different advanced patterning schemes: 1.
"Shrink Process Assisted by Double Exposure" (SPADE I), 2. "Space Patterning Assisted by Double Exposure"
(SPADE II), and 3. "Sidewall Patterning Assisted by Double Exposure" (SPADE III). Using SPADE I, contact hole CD
was reduced by 10~30nm and excellent through pitch performance was observed. SPADE I can also improve
LER/LWR when used in the formation of smaller trenches. SPADE II was developed for self-aligned pitch splitting of
contact holes and SPADE III was developed for self-aligned pitch splitting of lines. In this paper, the use of DPP in
various SPADE technologies is described and its potential in the advanced patterning schemes is discussed.
Experimental results on etched silicon wafers show that after two consecutive spin-coat processes the upper
material surface achieves near planar flatness. This was observed for three separate dual layer BARC systems and the
case of photoresist over a single layer BARC. The wafer topography step height (60 nm) and the thicknesses of the
organic films (20 nm - 100 nm) were typical for state-of-the-art IC manufacturing lithography processes.
A lithographic proximity effect driven by wafer topography pitch was experimentally observed for a single
layer BARC system. The response was reproduced with good quantitative accuracy using rigorous wafer plane EMF
simulations incorporating ideal etched wafer topography, a planarizing resist film and a simple spin-coat approximation
of the BARC coverage, as observed by x-section SEM. In contrast, simulations assuming the limiting cases of a
perfectly conformal BARC and a perfectly planarizing BARC failed to predict any meaningful proximity effect.
Two different pattern curing techniques were developed to stabilize first lithographic images for the single-etch double
patterning process. The first method uses a surface curing agent (SCA) that is coated on top of the patterned surface to
form a protective coating layer during the curing bake process. It was found that the surface curing process with SCA
offers minimum CD changes before and after the double patterning process. Virtually no CD change was observed with
the first lithographic images at various curing bake temperatures ranging from 120 ~160°C indicating the curing reaction
is limited on the patterned surface. The second method uses a thermal cure resist (TCR) that is a special 193nm
photoresist with a crosslinkable functional group to form an insoluble network upon heating at higher temperature. A
single-step curing process of the first lithographic images was achieved using TCR by baking the patterned images at
180°C for 60sec. A cross-line contact hole double patterning method was used to evaluate these two different curing
techniques and both SCA and TCR successfully demonstrated their capability to print 45nm contact holes with excellent
CD uniformity in immersion lithography (1.35NA) with a 45nm half pitch mask. It was also confirmed that both SCA
and TCR can be extended to the top-coat free immersion double patterning process using an embedded barrier layer
technique.
The work shown in this paper examines the effect of single and dual BARC on reflectivity at 1.35 NA using reflectivity simulations, coupled with process windows and swing curves, to gauge the effectiveness of reflection control for various BARCs on wafer. The BARC refractive index should be determined by the application, which in this case is the word line gate layer for 40 nm Flash memory. The materials required for best reflection control depends upon the film stack beneath it and the illumination used. An additional constraint is the thickness of the BARC film being scaled to thinner values as required by the future scaling of resist critical dimensions. Results from using two single layer BARCs and a dual organic BARC show what impact reflectivity has on various performances for gates in the center and edge of the array.
This study combines simulation and experiment to compare the impact that changing BARC thickness
around some nominal value has on the resist profile, on an underlying reflective surface. Process window
and profile effects are an important part of understanding how a BARC interacts with the resist's
parameters to affect the latitude in the light of imperfect reflection control. Reflectivity simulations are
made using MATLAB(r); and ProlithTM that show the effect of choosing refractive index and thickness in a
multi-layer bottom anti-reflecting coating (BARC). Trends are identified for the better operating values for
the index as well as specific values that meet the criterion for organic BARC in a front end application on a
reflective substrate. Experimental profiles are compared to simulation using a calibrated resist model for
nominal, better and ideal BARC stacks. Reflectivity, as a function of angle in resist, is convolved with the
diffraction intensity distribution. This reflection, determined by a pitch's diffraction angle, identifies what
can become problematic in setting up a process. Depolarization causes are discussed and while their impact
affects image formation, there is little difference in reflection.
Vortex masks composed of rectangles with nominal phases of 0°, 90°, 180° and 270° have been shown to print sub-100nm vias and via arrays when projected into negative resist using 248nm light. Arrays with pitches down to 210nm and CDs as small as 64nm have been reported. While promising, 248nm vortex via images showed some anomalies: The developed contacts were somewhat elliptical, with four different repeating via shapes. The common depth of focus for these four classes of via was limited by their different behaviors through focus. Phase edges in isolated vortex pair structures tended to print, also limiting the useful DOF. These issues can be ameliorated by employing 193nm illumination and a new negative-tone resist. Smaller NAs and higher coherence extend the common depth of focus and larger NAs can be used to print even more tightly spaced patterns. Advanced optical proximity correction techniques can also be applied to reduce the via ellipticity and placement error, and a more optimal choice of geometrical phase depth reduces pattern variability. Further developments and incremental improvements in vortex via design and processing may make it the method of choice for via patterning at the 45nm node.
Sub-resolution, 130 nm, contact holes are exposed into thick (400 nm) and thin (160 nm) resists. Three types of resist, ESCAP, hybrid and bi-layer, are used in the experiment. The lens aberration is studied with respect to the effect it has individual on process windows and the resultant common latitude shared by similar features across the exposure field. Affected Bossung curves show behaviors of tilt and best focus offset. Additional behavior is seen at this dimension in that aberrations cause the process windows to be truncated in the thicker resist. The thin imaging layer solves this problem and adds back the lost depth of focus to the common process latitude. A modified Strehl ratio, for out of focus images, is used to explain how process windows become reduced by larger aberrations.
Recently several authors have specifically noted the advantages of using negative tone resists for patterning narrow trenches. The growing interest stems from several factors. Firstly aerial image models indicate that negative tone systems should have improved process windows for patterning narrow trenches, relative to their positive tone counterparts. Secondly, negative tone resists are thought to be advantageous for minimizing variations of CD through pitch for trench layers thus reducing the optical proximity effect for certain exposure conditions. Finally, negative tone systems arguably circumvent the issue of resist poisoning from low k dielectric materials. The combination of these arguments has warranted our effort in the development of negative tone 193 nm resist systems, and this submission will present recent advances in this area. In particular the presentation will focus on prototypical negative tone formulations for use in patterning trenches with bright field imaging. We will present our results on a variety of performance attributes such as dissolution behavior, LER control, etch performance, resolution and process windows for these systems and we will provide a materials basis for using negative tone systems for patterning trenches for back end layers.
Maximized inherent common process latitude of 130 nm line/space features through pitch is demonstrated in this work. It is shown that the principle method for doing so is by reducing the through pitch, or proximity, bias. The effects that formulation, illumination optics and mask error have on proximity bias are studied. Formulations exhibit a wide range of bias that does not necessarily depend upon activation energy or process temperatures. Optical settings for inner and outer sigma for both annular and quadrupole illumination, likewise, have a demonstrable effect on the proximity bias. Larger, tighter annuli or poles produce larger bias, while lower settings incur a loss of resolution. Either effect limits the common latitude so a balance is struck between them. Additionally, while the effect of outer sigma is obvious in the data, the inner sigma effect is not observed until data are corrected for mask error and the mask error factor. The proximity bias ranges between approximately 10 and 80 nm, depending upon the combination of conditions. Sub-resolution assist features (scattering bars) are specifically excluded from use in this experiment.
The effect of assist feature optical proximity correction (OPC) has been well examined in 248nm lithography. The design of scattering bars is governed by rules that determine their ideal size and placement and for 248nm lithography have been successfully applied to improve the process latitude for 130nm features. However, assist feature OPC has not been explored for use in 193nm lithography. The objective of this paper is to determine the effectiveness of assist features, specifically scattering bars, in 193nm lithography using established rules for scattering bar size and placement. The experiment consists of a binary reticle with scattering bars of different size and placement. The exposures are obtained on an ASML 5500/950 scanner using conventional, annular and quasar illumination. The results of individual process latitude are examined for 100nm features from dense through isolated lines with no OPC applied to obtain a baseline process. The use of assist feature OPC is examined as a means to improve common and individual process latitudes. However, the initial results indicate that the existing rules for scattering bar design are not adequate to produce a useable process with 193nm lithography. The experimental results are examined to further refine the current rules for the design of scattering bars. The factors that resolve scattering bars and produce resist artifacts are discussed, including illumination, scattering bar size, type and placement. The results indicate that the existing rules for scattering bar design need to be modified for use in 193nm lithography.
The Mask Error Enhancement Factor (MEEF) is an important consideration for determining the best mask bias used in conjunction with Optical Proximity Corrections (OPC). Reducing MEEF, increasing process window, and minimizing through pitch proximity bias are all factors that need to be addressed when optimizing OPC and illumination. These simulations determine the interaction between isofocal bias with the sign (positive or negative) and the magnitude of the mask bias that reduces the MEEF for 130nm lines/spaces in DUV resist. Ideally, bias applied in this manner minimizes the through pitch print bias, thereby increasing the overlap of the individual process windows, and hence the common process window. Incorporating the current technique of varying mask bias through pitch to minimize MEEF and increase common process latitude, we correlate the isofocal bias to the sign of the mask bias for optimal MEEF reduction.
The mask error enhancement factor for contact holes is experimentally determined for 180 nm features under a variety of exposure conditions. Since its magnitude depends, in part, upon the slope of the aerial image, the value is calculated as a function of binary and phase shift masks, mask bias, and conventional and quadrupole illumination. The primary purpose is to compare experimental results to a simulation study and determine which simulation trends are accurately predicted. The results show that isolated contacts have lower MEEF than dense contacts but that dense contacts do not necessarily have the largest error factor. The magnitude of MEEF and the optimal bias that minimizes it are show to be accurately predicted.
The effects of mask bias on the Mask Error Enhancement Factor (MEEF) of 180 nm contact holes is studied through lithographic simulation using commercial software and a DUV (248 nm) ESCAP photoresist model. Dense contacts show higher MEEF than isolated or semi-dense contacts. However, dense features exhibit a minimum in MEEF at a single negative mask bias (CD on reticle > 180 nm). Aerial image simulations indicated that low MEEF correlates approximately with high normalized aerial image log-slope (NILS). Hence, factors that affect NILS, such as numerical aperture, partial coherence, and wavelength, also influence MEEF, although without altering the optimum mask bias for minimum dense MEEF. Numerical aperture and wavelength of exposure have the greatest influence on MEEF. For 180 nm contact holes worst case MEEF values below 2 can be achieved by increasing NA to 0.8 at 248 nm or by decreasing (lambda) to 193 nm at 0.6 NA. Resist identity has little influence on the magnitude of MEEF but was the only factor affecting the mask bias setting for minimum dense MEEF.
The introduction of resolution enhancement techniques (RETs) and higher numerical aperture (NA) scanners has pushed the capability of 248nm (DUV) processes to below 130nm. This extension of DUV technology has made the decision to insert 193nm difficult. The decision to either extend the current DUV processes or to change to 193nm will depend on several things: mask costs, tool costs, material availability and photoresist performance. The focus of this paper is on photoresist performance. The process latitudes of advanced DUV photoresists are compared to the latest generation of 193nm resists and simulation is used to estimate the performance obtained on the next generation scanners. This paper examines resist process latitude at several feature sizes to determine the strengths and weaknesses of each platform. The feature sizes to be examined include 130nm, 120nm and 110nm with a pitch ratio of 1:1 Lines/Spaces. The resists are processed on the appropriate anti-reflectant and a resist film thickness of approximately 3,000 angstrom is used. The measured lithographic response will be the individual process windows. The examination of each platform's performance will clarify the differences between the two resists on current scanners and estimate the differences on the next generation scanners. The results show experimentally that the current 193nm resist has slightly better process latitudes than the DUV resist for 1:1 Line/Spaces below 130nm. The estimation of performance on the next generation higher NA scanners indicate that the DUV resist will have better performance than the current 193nm resist. However, when the 193nm resists reach the same maturity as the DUV resist and respond to the aerial images accordingly, the 193nm resist will have better process latitude. While few resolution enhancement techniques are used in the experiments, the results do provide a piece of information required to decide between DUV and 193nm.
Several recent studies of the MEEF have identified that it is a function of print bias. These works have primarily studied the variation of MEEF for a fixed resist feature as the mask dimension is altered. An alternative way of studying the impact of bias is to use a fixed mask layout and change the exposure level. A Bossung plot shows the impact of exposure and focus on printed CD. An analogous plot is introduced which substitutes MEED for CD on the assumption that the target CD at each focus and exposure setting is the one realized on the Bossung plot for the correctly sized mask. Such a MEEFFEL can be constructed simply from three sets of FEM CD data, if an appropriate mask is available. Comparisons between the Bossung plot and the MEEFFEL plot allow understanding of the relationships between the MEEF response to focus/exposure variations and that of CD. An initial simulation study of line features on a binary mask reveals that MEEF is lowest where the resist feature exhibits iso-focal behavior. This behavior appears to be consistent through both pitch and nominal mask feature size.
DUV lithography for 130 nm features requires several resolution enhancement techniques for a robust manufacturing process. These include modified illumination, optical proximity correction and, eventually, phase shift masks. Illumination and mask enhancements improve aerial image quality and serve to maintain that improvement over a suitably larger range of defocus than would otherwise be obtained. The former technique expands process margins most effectively for only the semi-dense through dense pitches of lines and spaces. The more isolated features are unaffected by this approach, so common process latitude is reduced since the overlap of the individual windows is driven apart by the difference of sizing energies of the features. Scattering bars, which are sub-resolution assist features used in OPC for the more isolated features, offset this effect by inducing a diffraction pattern that is more similar to dense lines. The result is enhanced focus latitude for the individual feature and improved common latitude through increased overlap of process windows.
This study examines through simulation the effects of mask bias and illumination settings on the MEEF and process window of 180nm contact holes. Previous work has shown that application of a global mask bias of -40 or -60 nm collectively minimizes MEEF for 180 nm contacts of varying pitches printed simultaneously with binary mask or 6 percent transmittance attenuated phase shifting mask respectively. Simulations in the present work show that in addition to reducing MEEF, negative mask bias lowers sizing energy and reduces sidelobe formation in patterns printed with 6 percent AttPSM. However, increased film loss from dense contacts and slightly reduced process window also result from the use of negative mask bias. These drawbacks can be partly mitigated by optimizing the illumination parameters. Higher (sigma) , higher NA, and shorter wavelength of exposure all reduce or eliminate top loss and increase overall exposure latitude, while higher (sigma) also increases focus latitude at low NA. At higher NA, a tradeoff exists between lower MEEF with negative mask bias and loss of focus latitude with 6 percent AttPSM.
The concept of Mask Error Enhancement Factor (MEEF) is introduced its impact on the future of semiconductor fabrication is explained. The effects of numerical aperture, print bias, and exposure conditions of MEEF are explored using both theoretical and experimental methods.
DUV lithography using 248 nm light is a viable option for manufacturing deices with 130 nm features. A pertinent issue is generating an acceptable common process window for features of different pitches at this nominal dimension. Not only does the process latitude for any given pitch need to be acceptable; the bias in dose to size for these features must be minimized in order to create acceptable common process latitude. Demonstrated for pitch ratios of 1:1.5 and larger is the set-up of illumination, process OPC required to produce common process windows of 0.5 micrometers focus latitude at 10 percent EL. Simultaneously, scattering bars bring the isolated line process latitude to a level comparable to the 1:1.5 pitch features, greatly improving the performance over that of the isolated lines alone.
The ability of a commercial lithography simulator to accurately predict the pitch dependent print bias of a conventional i-line resist is investigated, under conventional and annular illumination schemes for two critical geometries. The influence of the simulator settings and resist modeling parameters on the observed bias are determined. The result reveal that the simulation predictions are qualitatively, but not quantitatively, reflective of experimental data and are remarkably insensitive to changes in either the simulator settings or the parameters used to describe the resist process.
Lines and spaces with posts are a typical combination of feature types for metal layer applications. A common process window for these is difficult to achieve, especially for equally sized features on the wafer. Foremost in attaining one is minimizing the differences in the dose to size of the feature. Many methods have been studied to maximize overlapping process windows of different features. Direct feature biasing, assist features and running NA and sigma are all methods that can be applied to maximize overlap. In this work, two different feature types, isolated and dense lines and posts, are examined. It is shown, through simulation and experimentation, how the overlapping process window (OLPW) of these features can be optimized. PROLITH software will be used to simulate OLPW with the latest resist models. This is examined experimentally, using an ASM 5500/300 DUV stepper with variable NA and partial coherence, for 250nm dense and isolated lines and 250 nm posts at optimal illumination settings which are determined by PROLITH. The improvements in OLPW due to resist type, e.g.; positive tine UV6 and negative tone UVN30, combined with optimal illumination coherence and mask bias, are shown. Mask feature bias is examined for the amounts that produce a common process at each of three partial coherence settings. Also given are the trends for isolated to dense and line to post proximity bias and the comparison of these to simulation.
Chemically-amplified positive DUV photoresists are well known to exhibit small profile deviation at the resist substrate interface, commonly called footing, when processed on substrates like silicon oxynitride (SiON), titanium nitride, and boron phosphorous silicate glass. Severe footing can cause etch problems resulting in critical dimension nonuniformity and degraded lithographic performance. The objective of this paper is to examine possible solutions to footing on SiON substrates by focusing on three main areas: photoresist formulation, photoresist processing and substrate manipulation.
The Center for X-ray Lithography (CXrL) has developed an x-ray mask fabrication process based on silicon nitride membranes and gold absorber. The LPCVD conditions for the growth of the nitride film produce 2 micrometers thick films with low tensile stress and an optical transmission sufficient for optical alignment. The membranes are formed with an reactive ion etch of the membrane window on the backside nitride, followed by a KOH etch of the silicon wafer. A plating base of 100 angstrom chrome followed by 200 angstrom gold is evaporated on the wafers. The wafer is then mounted on a glass ring using either adhesive or anodic bonding. The absorber pattern is delineated via e-beam lithography into either PMMA or SAL 601. Following resist development and an oxygen plasma cleaning, gold plating is used to produce features of the desired thickness.
In this paper we present the activities at the Center for X-ray Lithography (CXrL) that are dedicated to applying x-ray lithography to 0.25 micrometers processing. We first present the results of optimizing the parameters of the x-ray resist, AZ-PF 514, to achieve 0.25 micron features with variations of less than 10%; second, we discuss the properties of an exposure station (ES3) that feeds the in-house built aligner; third, we present the novel in-house built Two State Aligner (TSA) and its ability to achieve < 32 nm registration error; fourth, we present a developed fabrication process that produces masks with the required membrane stress, optical transparency, and mask flatness; and finally, we present the integration of all the above subprocesses by showing preliminary results from the in-progress 0.25 micrometers NMOS device run. The requirements and results of each sub-process are discussed and judged according to the 0.25 micrometers error budget goals that were initially set for 1997.
The investigation of x-ray mask fabrication distortions was initiated in an effort to identify the fabrication parameters responsible for the final x-ray mask shape and configuration. The investigation has identified the sources of fabrication-induced distortion in x-ray mask blank manufacture. The extraction of distortions at each process step allows for mask flatness control via distortion compensation as the mask fabrication process evolves. Interferometric characterization of the final mask blank configuration guarantees the mask flatness. Mask blanks with alignment windows are mapped to determine the locations of the alignment windows relative to the membrane. An additional interferometric wedge test is performed to determine the membrane tilt magnitude and orientation relative to the backside of the mask ring. With proper selection of mask blank materials and control of membrane material deposition and bonding parameters, x-ray masks up to 100 mm in diameter have been fabricated routinely with less than 5 micrometers of bow. Fine-tuning of the x-ray mask configuration may be controlled by variations in the anodic bonding process parameters. Optimization of the anodic bonding process is currently in progress.
Specific forced currents are required for different materials and feature sizes to ensure the best measurement precision and to minimize Joule heating. The determination of the optimum forced current depends on several parameters: sheet resistance, doping level, feature aspect ratio, and arm length/width of the van der Pauw (VDP) structure. A test of several different materials, resistivities, and feature sizes shows that the optimum forced current in the bridge and the VDP structures can be determined by simple empirical equations. The affect of Joule heating in a 0.2 micrometers structure was also evaluated. When supplied a forced current above the critical current density, the initial voltage reading is 9% larger than the initial voltage reading with the optimum forced current. There was no obvious Joule heating effect below the critical current density. In the extreme case an optimum forced current applied to a 0.22 micrometers structure for as long as 10 minutes produced a voltage rise exponentially to only 0.2% of the normalized voltage measured at the beginning.
We have performed experiments to study the kinetics of dissolution of the positive chemically amplified resist AZ-PF (Hoechst AG). The resist dissolution in exposed regions was shown to have non-linear time dependence, with a delay time strongly dependent on prebake and post- exposure bake conditions. Effect of the presence of a low-solubility surface layer on patterning of submicron features as well as on roughness of the developed film has been demonstrated.
The establishment of standard resist processes are a primary requirement for the X-ray Lithography National Test Bed at the Center for X-ray Lithography. For this, experimental design is a necessary component of the methodology given the large parameter space associated with chemically amplified resists (CARs). The process development is carried out in three phases. DOX is applied to several steps in the development. The vacuum hot plate pre-bake, post-bake time, temperature and the exposure dose have the greatest effect on controlling the performance of the resist. Constraints are placed on the contrast, develop time and unexposed resist loss. The exposure dose needed to meet these requirements is obtained from the modified response surface of the bulk behavior. The final optimization is based on the CD control and side wall angle for quarter-micron features in resist. The process is run on a sampled basis in order to determine the control issues. Control limits are set from these data, and the process performance is determined.
We describe the implementation of the two-state alignment system into the CXrL aligner, which is developed at our Center for X-ray Lithography. The CXrL aligner is designed to expose sub 0.25 μm feature size integrated circuits. The aligner consists of a three-axes two-state alignment system for alignment error detection and a piezo based precision mechanical stage for alignment error correction. The wafer is held by a precision vacuum chuck, while the mask is held by three vacuum suction cups located around the glass ring. In the prototype, the mask to wafer relative positioning is achieved by 3 motorized stages (for gap setting) and 3 piezo-actuators (for lateral alignment). Since the optical system is designed to be located outside of the synchrotron radiation path, alignment can be performed during exposure. We present the results of the alignment system performance, such as noise equivalent displacement and alignment signal response time. An alignment signal repeatibility of much better than 3σ = 0.07μm is achieved. We also briefly describe the future evaluation of the system, such as overlay measurement of the system using verniers and SEM inspection of some specially designed patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.