In this paper we describe the joint development and optimization of the critical dimension uniformity (CDU) at an advanced 300 mm ArFi semiconductor facility of SK Hynix in the high volume device. As the ITRS CDU specification shrinks, semiconductor companies still need to maintain high wafer yield and high performance (hence market value) even during the introduction phase of a new product. This cannot be achieved without continuous improvement of the on-product CDU as one of the main drivers for yield improvement. ASML Imaging Optimizer is one of the most efficient tools to reach this goal. This paper presents experimental results of post-etch CDU improvement by ASML imaging optimizer for immature photolithography and etch processes on critical features of 20nm node. We will show that CDU improvement potential and measured CDU strongly depend on CD fingerprint stability through wafers, lots and time. However, significant CDU optimization can still be achieved, even for variable CD fingerprints. In this paper we will review point-to-point correlation of CD fingerprints as one of the main indicators for CDU improvement potential. We will demonstrate the value of this indicator by comparing CD correlation between wafers used for Imaging Optimizer dose recipe development, predicted and measured CDU for wafers and lots exposed with various delays ranging from a few days to a month. This approach to CDU optimization helps to achieve higher yield earlier in the new product introduction cycle, enables faster technology ramps and thereby improves product time to market.
In recent years, DRAM and Flash technology node has shrunk below to 45nm half pitch (HP) patterning with significant progresses of hyper numerical aperture (NA) immersion lithography system and process development. Several technologies such as extreme ultra violet (EUV) lithography, double patterning technology (DPT) and spacer patterning technology (SPT) have been developed for sub 40nm HP device. High index immersion lithography (HIL) is also one of the candidates for next generation lithography technology that has benefits of product cost, process simplification and usage for existing infrastructure though this technology must overcome critical issues--high index immersion fluid and lens optic development.
In this paper, we will present simulation results on sub 40nm imaging characterization for HIL.
First, we have studied the image performance for sub 40nm patterning with HIL. The image contrast, optical proximity effect and mask error enhanced factor (MEEF) are investigated through simulation. As pattern size decrease and lens NA gets bigger and bigger, the features on mask get smaller even below the wavelength of light and polarization related effects become one of the most critical issues. From comparison with results for 45nm HP patterning, we are able to suggest the reasonable process condition for HIL process.
Then, we have investigated the optimum BARC condition to make preparations for 32nm HP pattering.
New concepts about transistor structure are being introduced for sub-50nm memory products. As the memory cell
design is shrinking down, conventional transistor of planar structure can not guarantee safe transistor operation.
Newly introduced transistor has to ensure robust transistor operation characteristics and process stability
simultaneously. One of the candidates which are being developed recently is vertical transistor. The basic layout to
integrate vertical transistor include very dense 2-dimensional features. The new memory cell based on dense structure
can also contribute to reduction of cell area compared to conventional memory cell such as 8F2 planar cell. While new
memory structure enables the reduction of chip size, its 2-dimensional structure limits resolving performance of optical
lithography inevitably. It is very challenging to build 4F2 dense features of sub-50nm node by single exposure
technology using hyper NA ArF lithography before the EUV era. In this paper, the feasibility of 2-dimensional dense
structure at 50nm node is presented and various techniques are introduced to realize new memory scheme as next
generation memory cell structure.
In this paper, we will present comparison of attenuated phase shift mask and binary intensity mask at hyper-NA
immersion scanner which has been the main stream of DRAM lithography. Some technical issues will be reported for
polarized illumination in hyper-NA imaging. One att.PSM (Phase Shift Mask) and three types of binary intensity mask
are used for this experiment; those are ArF att.PSM ( MoSi:Å ), thick Cr ( 1030Å ) BIM (Binary Intensity Mask),
thin Cr ( 590Å ) BIM and multi layer ( Cr:740Å / MoSi:930Å ) BIM. Simulation and experiment with 1.35NA
immersion scanner are performed to study influence of mask structure, process margin and effect of polarization. Two
types of DRAM cell patterns are studied; one is an isolation pattern with a brick wall shape and another is a storage node
pattern with contact hole shape. Line and space pattern is also studied through 38nm to 50nm half pitch for this
experiment. Lithography simulation is done by in-house tool based on diffused aerial image model. EM-SUITE is also
used in order to study the influence of mask structure and polarization effect through rigorous EMF simulation.
Transmission and polarization effects of zero and first diffraction order are simulated for both att.PSM and BIM. First
and zero diffraction order polarization are shown to be influenced by the structure of masking film. As pattern size on
mask decreases to the level of exposure wavelength, incident light will interact with mask pattern, and then transmittance
changes for mask structure. Optimum mask bias is one of the important factors for lithographic performance. In the case
of att.PSM, negative bias shows higher image contrast than positive one, but in case of binary intensity mask, positive
bias shows better performance than negative one. This is caused by balance of amplitude between first diffraction order
and zero diffraction order light.
Process windows and mask error enhancement factors are measured with respect to various design rules, i.e., different k1
levels at fixed NA. In the case of one dimensional line and space pattern, thick Cr BIM shows the best performance
through various pitches. But in case of two dimensional DRAM cell pattern, it is difficult to find out the advantage of
BIM for sub-45nm. It needs further study for two dimensional patterns. Finally, it was observed that thick Cr binary
intensity mask for sub-45nm has advantage for one dimensional line and space pattern.
In this paper, we will present experimental results on 45nm node patterning of DRAM and some technical issues for
polarized illumination in hyper NA imaging. First, practical k1 limit of 1.2NA ArF immersion system is investigated
through experiment. Process window and mask error enhancement factors are measured with respect to various design
rules, i.e., different k1 levels at fixed NA. Reasonable process window and MEEF value of around 3 are achieved in
DRAM gate and isolation layers at around 0.28 k1 regime. It is obvious that feasibility of this lowered k1 was realized
by the help of polarized illumination when we compared the results with that of 60nm patterning at 0.93NA tool -
corresponding k1 is 0.29 - without polarized illumination. Then consideration about degree of polarization state must
come next to the benefit of polarized illumination. Input polarization state is changed by birefringence of lens or mask
materials but it is very difficult to correlate the birefringence level and critical dimension of patterns experimentally.
Double exposing method was contrived to measure the effect of degree of polarization on DICD. And we also measure
the polarization dependent transmittance of light on mask by using 1.2NA immersion scanner. As a result, birefringence
and mask feature interaction with light seems not to be a serious issue for 45nm hyper NA polarized imaging.
95nm KrF lithography has been developed for 512 Mb DRAM. KrF 0.80NA scanner was used to print 190nm pitch patterns and this means the process factor k1 is 0.306. Crosspole illumination was used to print critical layers, which has four poles on x and y-axis. To improve CD uniformity of critical layers we also used fogging effect corrected (FEC) reticles and thin photo resist process, which needs the hard mask etching process to overcome poor dry etch resistance. For 95nm DRAM cell patterns, we could get more than 8% exposure latitude (EL) and 0.3 μm depth of focus (DOF). With FEC masks and optimized resist process, CD uniformity of word line layer printed on wafer was less than 10nm. Overlay accuracy of critical layers is mostly less than 25nm. However at core and periphery area of DRAM the extreme off-axis illumination like crosspole brought poor process latitude in weak zone duties and therefore the hard optical proximity correction (OPC) work was required. In a real integration other novel technologies are used such as gap-filling for STI and ILD processes, Wsi gate, W bit line and SAC processes. This paper reported only lithographic performance for printing 95nm DRAM patterns. Consequently KrF lithography is still promising technology to print sub 100nm node DRAM.
248nm wave lithography process is being pushed and extended to sub 130nm node by continuous RET(Resolution Enhancement Technique) improvement. By applying various kind of RET such as exposure lens NA(Numerical Aperture) enlargement, more strong OAI(Off Axis Illumination), elaborated OPC(Optical Proximity Correction), and high performance resist, we still can’t give up for 248nm wave technology 130nm node and beyond. But there are some major challenges to reduce MEEF(Mask Error Effect Factor) and understand lens aberrations. This paper will try to find out mutual relationship between 248nm 0.8NA exposure lens aberration and actual patterns. Influence of lens aberration on patterning characteristic will be investigated by using in house simulation tool.
Recently, KrF lithography has extended to 100nm technical node using various techniques and pushed ArF lithography to sub-100nm application. To enhance resolution, there are many problems to be solved, like dark erosion (dark film loss), sloped profile, line edge roughness (LER), and so on. Also, thin resist film must be used to prevent pattern collapse. In general, the aspect ratio is less than 2.5 for sub 100nm. For this reason, chemically amplified resist has to get high etch resistance, low dark film loss and vertical profile shape at maximum resolution. Many efforts have been made to solve these problems and to improve resist performance. In this study, we tried to resolve some of these problems using various acid-quenching systems. We estimated the quencher ability using acid diffusion depth in resist film by sandwich method and pKb values of amines. The changes of lithographic properties according to the application of different amines were investigated. It was found that acid-quenching ability of an amine was not related to its basicity from sandwich experiment results. In fact, quenching efficiency was more closely related to the amine molecular structure and bulkiness of a substituent attached to nitrogen atom. We observed that pattern shape and process margin were not directly related to the basicity of an amine, but more related to quenching efficiency. The amines having higher quenching ability show wider process margin. However, other lithographic properties such as LER and dark erosion were not affected by acid-quenching ability. It is believed that they are determined by other components including polymer, protection groups, and PAGs.
In ArF (193nm) lithography, severely sloped pattern profiles have been observed particularly in COMA type resists. In using COMA resists that are relatively absorbent, such crude profiles result in obstacles to litho-process reliability and stability. To improve weak profiles, the effects of Alkali Treatment (AT) on the surface of coated ArF resist film are explored because it is expected that Alkali Treatment works as additive quenchers in top area of resist film, and then latent image contrast can be improved consequently. For this experiment, TMAH (2.38wt%) developer was used as alkali solution and two kinds of ArF resist were used with in-house ArF resist (COMA type) and the commercial resist (Acrylate type). An appropriate Alkali Treatment was found to be effective for good profiles without thickness loss in COMA type but not for the Acrylate resist. In this paper, Alkali Treatment effects and process conditions suitable to obtain good patterns as well as considerable process margin (EL, DOF) will be discussed.
Most chip makers want KrF lithography is extended below sub 100nm lithography due to cost and process stability, even though ArF lithography has been growing and its performance is enough to apply to 100nm node. But process control of KrF lithography will become difficult at sub 100nm node era because of difficulty of mask making, accuracy of optical proximity correction (OPC), lens effects caused by strong off-axis illumination, need more tool accuracies than ever, and so on.
To accomplish minimizing feature size to sub 70nm, 157nm photolithography becomes a strong candidate as a new lithographic technology. However, there is a strong need for new photoresists, which are transparent to 157nm light sources. To have a transparency for 157nm light source, fluorinated organic polymers are studied intensively. As a result, there are some of polymers that have absorbance of 2/micrometers . However, in spite of this low absorbance of 2micrometers , resist profile simulation tells us bulk slope problems. TO obtain more than 85 degree of resist pattern profile, the absorbance of resist must be 1.2micrometers . The absorbance of 1.2micrometers is very difficult target to accomplish. To overcome this light absorption problem, we have developed amine gradient resist process (AGRP) which gives an amine gradient in photoresist and can make a vertical profile though the resist has poor transparency to light source. By adding chromophore that absorbs 193nm wavelength, we made model ArF resists of which absorbances were from 1.2micrometers to 4micrometers . By patterning experiment using these model resists and 193nm scanner, we could confirm that the resist absorbance should be lower than 1.2micrometers to obtain vertical profile pattern at the resist thickness of 150nm. But if we use AGRP, the absorbance of 2.5micrometers will be enough value for the vertical profile pattern. So we could conclude that by combining 157nm resists and amine gradient process, resist absorbance problem in 157nm photolithography could be solved. We also studied for the resist properties that were suitable for AGRP.
To accomplish minimizing feature size to sub 100nm, new light sources for photolithography are emerging, such as ArF((lambda) =193nm), F2((lambda) =157nm), and EUV(extremely Ultraviolet, (lambda) =13nm). Among these lithography technologies, ArF lithography will be used for 100nm and sub 100nm lithography. Past few years, ArF resist development has been the key issue for the success of ArF lithography. Now the resist problems are solved clearly and it is time to start on logic and DRAM real device fabrication using ArF lithography. In this study we will show all the resist process for 100nm real DRAM using ArF resist. For critical layers with no etching problems (Striation, PR Deformation etc.), the acrylate type resist was used. While the other critical layers, such as oxide layers, the COMA type resist was adapted to avoid the etching problem. Furthermore, we have optimized resist process and etching conditions, along with additional E-beam curing was minimized (only 2~3 layer is required) for the real device production. In case of contact hole patterns, the 110nm contact hole could be obtained successfully without additional process sch as RFP(Resist Flow Process) or RELACS(Resolution Enhancement Lithography Assisted by Chemical Shrink), leading to a good patterning and etching performance applicable to even below 100nm node tech.
For the fabrication of IC devices, the patterning of C/H (contact holes) is essential but very difficult in comparison with L/S (lines and spaces). 193nm lithography following KrF lithography is expected to play a main role in 0.1micrometers technology node. However, many lithographers have reported various troubles such as poor profiles, resist shrinkage, and pattern edge roughness due to inherent flaws of ArF resist materials. In this study, we noticed such complex issues relating to patterning C/H and evaluated two resists (acrylate, ROMA), which are promising materials among ArF resists, at the condition of various baking temperatures of the soft bake and the post exposure bake. And then we investigated lithographic capability (resolution limit, exposure latitude, depth of focus, and CD uniformity) at the optimum bake conditions. Besides, the resist flow properties were estimated on both resists, respectively. Throughout experimentals, we were able to observe ArF resist properties for bake conditions and find optimum temperatures to improve several issues occurred on C/H pattern. Thus we directly achieved 0.12~0.10 micrometers C/H and also decade- nanometer C/H by applying the resist flow process.
We can print the contact hole pattern beyond the optical resolution limit using resist flow process. But its application has not strong point for the layer having various kinds of contact hole size and duty ratio according to x-axis or y-axis. Nevertheless, for the mass production of sub-150nm design rule device with KrF lithography using resist flow process, moderate shrink bias of below 40nm is required because the CD uniformity gets worse as the shrink bias gets larger by the influence of the hotplate dependency. We developed novel technology of shrinking contact hole using chemically amplified resist. It is generally known that the chemically amplified resist have t- top profile or larger line width when it has too much post exposure delay time at high amine concentration. Using this phenomenon, we intentionally treated amine of hexamethyl disilazane between exposure step and post exposure bake step, so we got smaller contact hole. Pattern profile of contact holes obtained by CONPEAT(CONtact hole resolution enhancement by Post Exposure Amine Treatment) process was fine. The contact size was 190nm with normal process and it was shrunk to 150nm using CONPEAT process. In this paper, we report the novel contact hole shrinkage technology of CONPEAT process. Its process feasibility was studied considering pattern fidelity, shrink bias and CD uniformity. We also introduce the experimental results of super contact hole shrinkage process using both contact hole shrinkage technology of CONPEAT process and resist flow process simultaneously.
Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be used for sub 100nm regime. For sub 100nm devices, high NA (>=0.70) exposure tools and various strong off-axis illumination (OAI) conditions should be used. But unlike KrF (248nm) lithography, resist pattern collapse becomes one of the most serious problems in ArF lithography. In order to solve pattern collapse problem, thin resist process is generally introduced but its poor etch resistance is an obstacle for being applied in real production process. Due to this reason, new kinds of organic BARC materials are investigated and optimized to avoid pattern collapse. As mentioned, the most important issue in ArF organic BARC is believed to be the pattern collapse problem. A number of organic BARCs were made by varying polymer, cross-linker, thermal acid generator, and additive. We tried to analyze the key factor in terms of pattern collapse. This paper is to compare the various elements of the organic BARC formulation and to discuss what brings and causes pattern collapse.
Application of a top surface imaging process by silylation (TIPS) to ArF excimer lithography is desirable for ULSI production with minimum feature size below 0.12micrometers . It provides high etch resistance and controls non-uniform reflectivity in the multiple film layers over topography and shows superior characteristics in terms of adhesion and resist pattern collapse compared with single layer resist process of wet development. The processes for top surface imaging have been improved by many groups, however, the design of photoresist for TIPS in 193nm lithography has been considerably limited due to its characteristics like energy sensitivity, silylation selectivity. Positive-tone chemically amplified TSI resist of crosslinking type is more appropriate for sub-100nm lithography in consideration of adhesion and resist rigidity. A new class of positive phororesist containing polyvinylphenol and novel acetal type crosslinker of poly(3,3'-dimethoxypropene) was developed for 193nm top surface imaging process by silylation. This new resist containing acetal type crosslinker is quite different with those practiced in traditional DUV and ArF lithography. Novel crosslinker was synthesized from polyacrolein with a yield of 90%. And then the silylation properties and lithography performances of the resist were investigated for 193nm top surface imaging process using gas-phase 1,1,3,3- tetramethyl disilazane(TMDS) as a silylating agent. The minimum pattern size of 0.12micrometers L/S was defined after dry development at a sensitivity of 2 mJ/cm2 with annular illumination using ArF microstepper having 0.60 NA. In this paper, the material characteristics of novel resist containing acetal type crosslinker of poly(3,3'- dimethoxypropene) and lithographic performances is reported for 193nm top surface imaging process by silylation.
To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.
We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.
In this paper we investigated the feasibility of printing sub-0.13 micrometers device patterns with ArF and KrF lithography by using experiment and simulation. To do this we evaluated various cell structures with different sizes from 0.26 micrometers to 0.20 micrometers pitch. In experiment 0.60NA ArF and 0.70NA KrF exposure tools, commercial and in house resists and bottom anti-reflective coating (BARC) materials are used. To predict and compare with experimental data we also used our developed simulation tool HOST base don diffused aerial iamge model. We found that ArF lithography performance is a little bit better than KrF and therefore 0.70NA KrF lithography can be used up to 0.12 micrometers design rule device and 0.60NA ArF lithography can be used up to 0.11 micrometers . But to get more than 10 percent expose latitude, 0.13 micrometers with KrF and 0.12 micrometers with ArF are the minimum design rule size. However to obtain process margin we had to use extreme off-axis illumination (OAI) which results in large isolated- dense bias and poor linearity including isolated pattern. Using higher NA can reduce ID bias and mask error factor. For contact hole it is more effective to use KrF lithography because resist thermal flow process can be used to shrink C/H size. Our developed ArF resist and BARC shows good performance and we can reduce k1 value up to 0.34. Through this study we verified again that ArF lithography can be applied for sub-0.13 micrometers device through sub-0.10 micrometers with high contrast resist and 0.75NA exposure tool.
The compatibility of novel organic bottom anti-reflective coatings (BARC), HEART003 and HEART004 developed by Hyundai Electronics, with various chemically amplified resists (CAR) was reported. The incompatibility between organic BARC and CARs is caused by the differences of residual activity on the surface of organic BARC after baking process and the types of dissolution inhibitors in the polymer of CAR and photo acid generators (PAG) used in their formulation. In KrF lithography, the HEART003 was much compatible with acetal type resist than annealing type resist because it's neutral acidity on the surface of BARC. The incompatibility with annealing type resist was caused by weak residual acidity on the surface of BARC, relatively. Thus we tried to adjust the feasible residual acidity on the surface of BARC and optimum thermal baking condition. The modified HEART003 has excellent compatibility not only with acetal type resist, but also with annealing type resist in the same platform. In ArF lithography, the HEART004 has also good compatibility with cycloolefin type and (meth)acrylate type ArF resist by modification of its formulation.
As the integration density of VLSI device increases, the overlay accuracy in the photolithography becomes more and more important. In the sub-quarter micron technology, the registration budget is less than 70 nm. Registration error can be induced by the repeatability error of alignment sensor, mask fabrication error, tool induced shift, process induced shift, and so on. One of these misregistration error sources, overlay parameter difference between DI and FI, can cause significant damage to the device because, in most cases, overlay accuracy is checked only in the mask step. In this paper, we studied the relationship of the Edge Detection Algorithm (EDA) and the overlay mark structure to the wafer scale difference.
The optical lithography is extending its life by combining high numerical aperture (NA) optics and shorter wavelength. The shorter wavelength lithography has required the new developments of related technologies. In particular, DUV resists require an entirely different resist chemistry. Much progress has been demonstrated in the field of transparent chemically amplified resists with high sensitivity. However, this DUV lithography ((lambda) equals 248 nm) has been delayed for mass production due to their limitations, such as (i) delay time effects, (ii) high cost ownership due to expensive resist materials and laser maintenance, and (iii) critical dimension (CD) variation over topography caused by multireflection of topographic features. On the other hand, i- line lithography ((lambda) equals 365 nm) has apparently been applied to 64M DRAM of 0.35 micrometers design rule, and attempted to 0.30 micrometers technology which corresponds to 2nd generation 64M DRAM or 1st generation 256 M DRAM. It might be achieved by combination of off-axis illumination (OAI), phase shift mask (PMS) and advanced resist process technique of i-line lithography. Therefore, i-line lithography can be more practical method rather than DUV lithography for the mass production. In this paper, we have optimized the i-line lithographic techniques for the various pattern shape and density for 0.30 micrometers design rule. Optimum duty ratio was tried to find for line and space, contact hole patterns. The basic rule is to keep the minimum Cr width over 0.30 micrometers mask. OAI have been applied to get higher contrast of line and space, and even contact hole patterns, and achieve good pattern fidelities of island patterns. By the implementation of OAI, process latitudes were greatly improved compared to that of conventional techniques. In order to optimize the process over the actual topography, optimum numerical aperture (NA) and aperture of the OAI were selected. In conclusion, 0.30 micrometers design rule device was successfully fabricated by optimizing the advanced i-line lithographic techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.