We present a simple reaction rate analysis of lithographic patterning using the Non-Reciprocal Photo Base Generation
(NRPBG) scheme of Bristol (Bristol, et. al., to be published in Proceedings of the SPIE - The International Society for
Optical Engineering, 2010, presentation 7639-4). Multistep reaction kinetics simulations demonstrate that the NRPBG
scheme produces clear pitch division upon 193 nm double-exposure, over a range of photochemical reaction rate
constants.
We present an overview of lithography results achieved for materials to support "leave-on-chuck" double-exposure
pitch-division patterning. These materials attempt to make use of a non-reciprocal photoresponse in which the same
number of absorbed 193nm photons can produce different remaining levels of resist, depending upon whether the
photons are received all at once or in two separate exposures. This, in principle, allows for the use of two exposures,
using independent masks and without removing the wafer from the chuck, to produce non-regular patterning down to
one half the pitch limit of the scanner. Such behavior could be produced, for example, by a reversible two-stage
Photoacid Generator (PAG) or other non-reciprocal mechanisms.
Several stages of lithography screening were done on a large number of candidate systems. Initially, thermal stability,
casting behavior, and single-exposure (SE) contrast curves were investigated to determine whether the system behaved
as a usable photoresist. The next stage of testing probed non-reciprocal response, in the form of double-exposure (DE)
contrast curves, typically with an intervening whole-wafer flood exposure at a longer wavelength to enact the nonreciprocity.
The key criterion for the material to pass this stage was to show a shifted contrast curve (difference in
photospeed) for DE vs. SE. Such a shift would then imply that pitch-division imaging would be possible for this
material.
After identifying materials which exhibited this SE vs. DE contrast curve shift, the next step was actual DE patterning.
Since the laboratory tool used for these exposures does not have the precise alignment needed to interleave the two
exposures for pitch division, we employed a technique in which the second exposure is rotated slightly with respect to
the first exposure. This results in a Moiré-type pattern in which the two aerial images transition between overlap and
interleave across the wafer.
One particular PAG + sensitizer did indeed show the desired DE vs. SE contrast curve shift and pitch-divided imaging (k1 = 0.125). This system appears to operate on a scheme based on the creation of a photobase generator between the first and second exposures. Unfortunately, the quality of the pitch-divided images degrades quickly as the pitch is decreased, showing severe LER and bridging defects at a final pitch of 220nm. We postulate that this is caused by the diffusion of one or more key photoproducts. Accompanying papers report on both the photochemical details of the reaction pathways of these materials as well as modeling of the reaction kinetics.
Here we present the relative sensitivity of EUV resists to out of band radiation (OOB), specifically wavelengths in the
range 157 - 400 nm. EUV light sources have specifications limiting the allowed energy output in that spectral range yet
there is little data supporting the specified values. Filters might be required to meet the spectral purity specifications
which will likely have the detrimental effect of reducing the in-band radiation at 13.5 nm and therefore negatively
impact the cost of ownership of EUV lithography. To better quantify the effects of OOB we obtained contrast curves and
absorbance spectra for several EUV resist platforms at nine exposure wavelengths. The 2007 ITRS Roadmap suggests
that resist thicknesses will be near 35 - 65 nm when EUV will be used1. We found that, in this optically thin regime,
resist sensitivity increases with increasing absorbance. The sensitivity decreases dramatically for wavelengths
approaching 300 nm, and is negligible for longer wavelengths. The OOB sensitivity of the resists examined can be
estimated to within an order of magnitude using the resist absorbance value. For resists with absorbance values on the
same order of magnitude, sensitivity is determined by other aspects of the resist formulation. Within the wavelength
region explored, the greatest concern is near 160 - 240 nm based on current resist sensitivity characteristics. However,
there is a gap in data between 13.5 - 157 nm and there may be other reasons to limit the source output in that wavelength
range. The data presented here could be useful in setting or modifying the OOB specifications for EUV tools.
We have developed an improved AFM-based technique to measure intrinsic material roughness (IMR) after base
development. We have investigated the contribution of different polymeric PAGs to IMR. These polymeric PAGs
include copolymers of several styrenic PAGs with hydroxystyrene. The IMR of these polymer-bound PAGs is reduced
relative to that of their nonpolymeric counterparts with DUV exposure. Theses results represent further evidence for
PAG segregation during the bake steps as being responsible for increased IMR in exposed resists, presumably by
increasing the dissolution rate inhomogeneity on a nano-scale level. The work also shows that the effects of PAG
segregation can be mitigated by employing polymer-bound PAGs.
Chemically amplified resists (CAR) have successfully facilitated the development of deep ultra-violet (DUV) and 193 nm lithography techniques for more than two decades due to their acid-catalyzed deprotection scheme that enhances their photospeed. This acid-catalyzed mechanism provides a method for amplifying the initial chemical reactions caused by interaction of radiation with the resist film, thus making each interaction event between radiation and resist more productive. However, when switching from low energy photolysis to high energy radiolysis, changes in the manner in which the radiation interacts with the resist material can alter the acid generation efficiency and mechanism of PAG excitation. In high energy radiation cases where the radiation energy exceeds the ionization potential of the PAG and the polymer resin, the radiation absorption in the resist film becomes non-selective. The ratio of PAG excited by direct excitation as compared to polymer or matrix sensitization pathways can shift heavily in favor of matrix sensitization in such high energy exposure cases. Such sensitization pathways may become a potential method for enhancing resist sensitivity under high energy radiation through careful selection of matrix and PAG materials. A better understanding and study the efficiency of acid generation through direct and indirect PAG excitation pathways and the effect of PAG and matrix structure on these pathways would be extremely valuable for the design of future high sensitivity resist materials. In this work, the acid generation of typical ionic (onium salt) and non-ionic PAGs under DUV (248 nm) and electron-beam exposure in polymer film have been studied. The effect of PAG type and structure on its acid generation under photolysis and radiolysis has been determined. The effect of polymer resin structure on PAG photoacid generation under photolysis and radiolysis has also been investigated. Concepts for PAG and polymer design for producing enhanced sensitivity resists for excitational and ionizational exposure is discussed.
We have measured the acid generation efficiency with EUV exposure of a PAG in different polymer matrixes
representing the main classes of resist polymers as well as some previously described fluoropolymers for lithographic
applications. The polymer matrix was found to have a significant effect on the acid generation efficiency of the PAG
studied. A linear relationship exists between the absorbance of the resist and the acid generation efficiency. A second
inverse relationship exists between Dill C and aromatic content of the resist polymer.
It was shown that polymer sensitization is important for acid generation with EUV exposure and the Dill C
parameter can be increased by up to five times with highly absorbing non-aromatic polymers, such as non-aromatic
fluoropolymers, over an ESCAP polymer. The increase in the Dill C value will lead to an up to five fold increase in
resist sensitivity. It is our expectation that these insights into the nature of polymer matrix effects on acid generation
could lead to increased sensitivity for EUV resists.
Chemically amplified resists (CARs) are likely to continue to be the main resist materials platform for next generation lithography using shorter wavelength and higher energy radiation sources, such as extreme ultra-violet (EUV) and electron-beam (EB) lithography, to pattern features at and below the 32 nm technology node. As the cost of generating and manipulating high energy radiation in these techniques increases dramatically, photoacid generators (PAGs) with high sensitivities to these exposure sources are required to efficiently utilize such radiation and maintain high lithography tool throughputs. On the other hand, the high energy radiation used in current and next generation lithography tools can increasingly interact non-selectively with the PAG and polymer resin. Photoacid generation from PAG sensitization pathways involving the photoresist resin (e.g. polymer) becomes another potential route for boosting the photospeed of CARs if the PAG and matrix resin chemistry is selected properly. In this work, a fast, convenient, and material saving method which can measure the acid generation rate and yield under photolysis and radiolysis, as well as determine the efficiency of acid generation through direct PAG excitation and indirect PAG sensitization pathways has been developed. This method utilizes on-wafer ellipsometry to determine the absorption of protonated Courmarin 6 (C6) dye, which is incorporated into the polymer resin as a proton indicator. In this work, triphenylsulfonium triflate PAG is used in two different matrix resins, poly(methyl methacrylate) and poly(hydroxystyrene), to illustrate this point that matrix sensitization of the PAG can be important and can be studied using the method developed in this work. This study serves as the starting point for building the structure property relationships needed for intelligent PAG and matrix design to optimize exposure energy utilization in CARs. The validity of this new analytical method is verified by comparing our results in selected PAG-polymer combinations with results obtained from previous studies using different techniques. The potential measurement errors possible when using dye as the proton indicator in a solid-state medium, such as the probability of proton-dye contact and acid generation through dye radiation absorption and sensitization of the PAG, are also analyzed and discussed in this paper.
A new series of methacrylate substituted benzene sulfonic photoacid generators (PAGs) and a perfluoro alkanesulfonic
PAG, bound polymeric resists based on hydroxystyrene (HS) and 2-ethyl-2-adamantyl methacrylate (EA) were prepared
and characterized. The acid yield of these PAG bound polymer resists was among the range of 54-81% under deep
ultraviolet exposure (254 nm) that agrees well with the electron withdrawing effect of the substituents on the PAG anion
for enhancing acid generation efficiency. The intrinsic lithography performance of these polymer-bound PAG resists
showed sub-50 nm half-pitch resolution and < 5 nm LER (3σ).
We have developed an improved AFM-based technique to measure intrinsic material roughness (IMR) after
base development. We have found that similar results can be obtained by measuring the film roughness with a fixed
develop time and variable dose to that of the previously reported interrupted development method, and thus a simple
contrast curve can yield information on the innate material roughness of the exposed resists. It was found that the IMR
is dependent on the PAG and the polymer employed in the resist. The IMR of the resist is also strongly dependent on
the bake conditions, with increasing IMR at higher bake temperatures. Several PAGs have been identified that result in
significantly lower material roughness and thus the potential for significantly reduced line width roughness in resist
imaging. Evidence is presented that PAG segregation during the bake steps is responsible for increased IMR in exposed
resists, presumably by increasing the dissolution rate inhomogeneity on a nano-scale level. It is also shown that the
effects of PAG segregation can be mitigated by the choice of PAG and polymer for the resist.
The need to characterize line edge and line width roughness in patterns with sub-50 nm critical dimension challenges
existing platforms based on electron microscopy and optical scatterometry. The development of x-ray based metrology
platforms provides a potential route to characterize a variety of parameters related to line edge roughness by analyzing
the diffracted intensity from a periodic array of test patterns. In this study, data from a series of photoresist line/space
patterns featuring programmed line width roughness measured by critical dimension small angle x-ray scattering (CDSAXS)
is presented. For samples with periodic roughness, CD-SAXS provides the wavelength and amplitude of the
periodic roughness through satellite diffraction peaks. In addition, the rate of decay of intensity, termed an effective
"Debye-Waller" factor, as a function of scattering vector provides a measure of the fluctuation in line volume. CDSAXS
data are compared to analogous values obtained from critical dimension scanning electron microscopy (CDSEM).
Correlations between the techniques exist, however significant differences are observed for the current samples.
Calibrated atomic force microscopy (C-AFM) data reveal large fluctuations in both line height and line width, providing
a potential explanation for the observed disparity between CD-SEM and CD-SAXS.
A series of new anionic PAGs, as well as PAG bound polymers designed for use in 193 nm photoresist materials have
been synthesized and characterized. These novel materials provide optical transparency at 193 nm and also etch
resistance. The fluorine substituted PAG bound polymer and PAG blend resist provided 110 nm (220 nm pitch)
line/space at 11.5, 13.0 mJ/cm2, and 80 nm isolated features at 3, 1 mJ/cm2, respectively. The LER (3&sgr;) results showed
the fluorinated PAG bound polymer have LER values 6.7 nm and 6.8 nm for isolated 80 nm and dense 110 nm lines
respectively, which were lower than the PAG Blend polymers
A new series of anionic photoacid generators (PAGs), and corresponding polymers were prepared. The thermostability
of PAG bound polymers was superior to PAG blend polymers. PAG incorporated into the polymer main chain showed
improved resolution when compared with the PAG blend polymers. This was demonstrated by Extreme Ultraviolet
lithography (EUVL) results: the fluorine PAG bound polymer resist gave 45 nm (1:1), 35 nm (1:2), 30 nm (1:3) and 20
nm (1:4) Line/Space as well as the 50 nm (1:1),30 nm (1:2) elbow patterns.
Substantially improved photoresist material designs, which can provide higher photosensitivity and precise critical
dimension and edge roughness control, will be required to enable the application of next generation lithography
technology to the production of future sub-65 nm node IC device generations. The development and characterization of
novel material platforms that solve the aforementioned basic problems with chemically amplified resists (CARs) is
essential and is already one of the major subjects of modern lithography research. In that regard, we have pursued
development of a variety of 193 nm and EUV CARs that contain photoacid generator (PAG) units covalently bonded
directly to the resin polymer backbone. However, the detailed structure-property relationships that result from this
direct attachment of the PAG functional group to the polymer have previously not yet been rigorously characterized. In
this work, the lithographic properties of a polymer-bound PAG CAR (GBLMA-co-EAMA-co-F4-MBS.TPS) and its
blended-PAG analog resist (GBLMA-co-EAMA blend F4-IBBS.TPS) were studied and compared. The direct
incorporation of PAG functionality into the resist polymer, where the resulting photoacid remains bound to the polymer,
showed improved photosensitivity, resolution, and lower LER as compared with the analogous blended-PAG resist.
The improved resolution and LER were expected due to the restricted photoacid diffusion and uniform PAG distribution
provided by direct incorporation of the PAG into the polymer backbone to make a single-component resist material.
The ability to load higher levels of PAG into the resist provided by this PAG incorporation into the polymer, as compared
to the low PAG concentrations attainable by traditional blending approaches, overcomes the sensitivity loss that should
result from reduction in photoacid diffusivity and concomitant smaller acid-catalytic chain lengths. In fact, the
polymer-bound PAG resist achieves a faster photospeed than the blended-PAG analog material under DUV radiation in
the case of the materials reported here while still providing all of the aforementioned improvements such as the improved
line edge roughness.
We have developed an AFM-based technique to measure intrinsic material roughness (IMR) after base
development. Employing this technique we have deconstructed the resist into component parts and have shown that
PAG is a major contributor to intrinsic material roughness. When PAG is exposed and thermal polymer deprotection is
allowed to occur increased levels of IMR are present. The IMR of the resist is strongly dependent on the bake
conditions, with increasing IMR at higher bake temperatures. This leads to the suspicion that the resist glass transition
temperature (Tg) may be responsible for the changes in the level of IMR observed with both different PAGs, polymers
and bake temperatures. We have measured the Tg in a series of model resists, both exposed and unexposed, and show
the effect of changes in resist glass transition as a function of exposure dose and not the level of polymer deprotection.
The Tg of the resists does not decrease with exposure or bake as may be expected, but instead is either unchanged or
slightly increases. The change in Tg occurs due to exposure only with subsequent bake steps not affecting the resist Tg.
A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium
hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was
found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely
as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of
solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio
between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was
0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under
EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is
considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of
150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect
ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the
typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest
values reported for molecular glass materials in general.
We have applied chemical force microscopy (CFM) to probe the surface roughness of partially developed model resist
materials in order to understand the fundamental materials properties of the resists leading to line edge roughness
(LER). CFM is capable of providing simultaneous information about surface topography and chemical heterogeneity of
partially developed resist films. We have used CFM to study ESCAP type resists that are used in 248 nm and extreme
ultraviolet (EUV) lithography. We observe changes in both the innate material roughness and chemical heterogeneity
of the resist with the introduction of photoacid generator (PAG) and with exposure and post exposure bake (PEB). We
find several mechanisms by which chemical heterogeneity can contribute to increasing the innate material roughness of
the resist.
We developed an atomic force microscopy (AFM)-based technique to measure intrinsic material roughness after base development. This method involves performing an interrupted development of the resist film and measuring the resulting film roughness after a certain fixed film loss. Employing this technique, we have deconstructed the resist into component materials and established that the photoacid generator (PAG) is a major material contributor of film roughness and that PAG segregation in the resist is likely responsible for nanoscale dissolution inhomogeneities. Small differences in PAG concentration as a result of standing waves in the resist can lead to large changes in surface roughness due to PAG or PAG-photoproduct segregation and the resultant nonlinear change in nanoscale dissolution rates. The temperature dependence of the PAG segregation suggests that increased mobility of the PAG that occurs may be due to a lowering of the film Tg during the deprotection process.
Conventional chemically amplified photoresist formulations are complex mixtures that include a protected polymer resin and a small molecule photoacid generator (PAG). The limited compatibility of the PAG with resist resin and the mobility of the small molecule additive can lead to problems including PAG phase separation, non-uniform initial PAG and photoacid distribution, and acid migration during the post-exposure baking (PEB) processes. The incorporation of PAG units into the main chain of the polymer resin is one possible method to alleviate these problems. Recently, we have investigated methacrylate based resists which incorporate novel PAG functional groups into the polymer main chain. These materials have demonstrated good resolution performance for both 193 nm and EUV exposure for sub-100 nm patterning. However, limited information is available on the effect of binding the PAG to the polymer on PAG photoreactivity and photoacid diffusivity. In this work, the photoacid generation rate constant (commonly referred to as the Dill C parameter for the PAG) of both triflate polymer-bound PAG and blended PAG photoresists based on poly(γ-butyrolactone methacrylate -co-2-ethyl-2-adamantyl methacrylate ) resists were determined by a new technique utilizing both quantitative FTIR spectroscopy and kinetic model fitting. The results indicate that the polymer-bound PAG resist has a lower photoacid generation rate constant (C=0.0122) than the blend PAG one (C=0.2647). This large difference in Dill C parameters would indicate that the sensitivity of the polymer-bound PAG resist is substantially lower than that of the analogous blended sample which is consistent with contrast curve data for these two samples.
We have developed an AFM-based technique to measure intrinsic material roughness after base development. This method involves performing an interrupted development of the resist film and measuring the resulting film roughness after a certain fixed film loss. Employing this technique, we have deconstructed the resist into component materials and established that the PAG is a major material contributor of film roughness and that PAG segregation in the resist is likely responsible for nano-scale dissolution inhomogeneities. Small differences in PAG concentration as a result of standing waves in the resist can lead to large changes in surface roughness due to PAG or PAG-photoproduct segregation and the resultant non-linear change in nano-scale dissolution rates. The temperature dependence of the PAG segregation suggests that increased mobility of the PAG occurs due to a lowering of the film Tg during the deprotection process.
Current resist materials suffer from a number of problems which must be addressed to allow continued scaling of memory and logic devices. The incompatibility of the photoacid generator (PAG) and the polymer matrix is addressed in this study. This incompatibility leads to lowered acid generation efficiency, non-uniform acid distribution and migration, and phase separation. These issues ultimately lead to undesirable, premature and non-uniform deprotection reactions in the chemically amplified resist. To alleviate these problems, it is proposed that PAG units be incorporated in the resist chain to make a one-component resist, rather than blending monomeric PAG with the resist polymer. Also, polymer bound PAG resists exhibit higher stability, lower outgassing, and lower line edge roughness (LER) than corresponding resists. The polymer bound PAG resists, poly (γ-butyrolactone methacrylate-co-2-ethyl-2-adamantyl methacrylate-co-PAG), were synthesized using free radical polymerization. PAG incorporated resists, as well as PAG blended resists were exposed using the 193 nm ASML 5500/9xx optical lithography system, with 0.63 NA. Exposed wafers were evaluated using SEM. The triflate PAG incorporated resists provided 110 nm (220 nm pitch) line space features, and 80 nm isolated features. The PAG blended resists provided 130 nm (260 nm pitch) line space features. The associated photospeed for the 110 nm line space features was 8.2 mJ/cm2, which is within road map standards.
A method has been developed to probe the Innate Material Roughness (IMR) of resist materials. We have applied this to EUV and 248 nm resists to deconvolute the material contributions to roughness: 1) the polymer alone, 2) interaction between the polymer, photoacid generator (PAG), base quencher, and photolysis byproducts, 3) the effects of exposure, and 4) development. We studied ESCAP based resists (with more limited data on APEX polymers), an iodonium nonaflate PAG, a tetabutyl ammonium hydroxide (TBAH) base quencher, and standard tetramethylammonium hydroxide (TMAH) development.
KEYWORDS: Line edge roughness, Line width roughness, Metrology, Digital Light Processing, Critical dimension metrology, Inspection, Process control, Optical lithography, Silver, Control systems
Control of Line Width Roughness (LWR) is one of the biggest challenges of next generation lithographies. However, control necessitates accurate definition and characterization schemes. In this paper, a new definition of LWR is proposed with the benefit of being independent on the resist line length used in the measurement. The definition corresponds to the sigma value of LWR for infinite resist-line-length, but it can be measured using any finite line length. It is based on an appropriate combination of LWR and CD metrology. As the line length (gate width) decreases the LWR is being partitioned between the sigma of LWR for finite lengths and the CD variation. This partitioning is controlled by the correlation length and the roughness exponent. A protocol for LWR characterization is described using these three parameters.
Furthermore, LWR modeling using methods for generating lines similar to the experimental ones is investigated. The aim is to control LWR deliberately for better input to device simulators and solving characterization problems. An algorithm based on the convolution method is shown to reproduce reliably the roughness characteristics of real lines. This algorithm needs as input a triplet of parameters similar to those defined above for LWR characterization.
The Intel lithography roadmap calls for Extreme Ultraviolet Lithography (EUVL) to be used for the 32 nm node. With the installation of the EUV Micro-Exposure Tool (MET) complete, Intel now has the world's first integrated EUVL process line including the first commercial EUV exposure tool. This process line will be used to develop the EUV technology, including mask and resist, and to investigate issues such as defect printability. It also provides a test-bed to discover and resolve problems associated with using this novel technology in a fab (not lab) environment. Over 22,000 fields have been exposed, the discharge-produced plasma light source has operated for 50,000,000 pulses, 8 masks have been fabricated, and 8 resists have been characterized. The MET combines high resolution capability with Intel's advanced processing facilities to prepare EUVL for high-volume manufacturing (HVM).
In this paper we review the MET installation and facilities, novel capabilities of the linked track, data on optics quality and modeled tool capability, and the MET mask fabrication process. We present data on tool performance including printing 45 nm 1/2 pitch lines with 160 nm depth of focus and 27 nm isolated lines. We show tool accuracy and repeatability data, and discuss issues uncovered during installation and use.
Measurements of flare as a function of feature size and orientation have been made on Intel's EUV Micro-Exposure Tool (MET). The predicted value for intrinsic flare from Mid-Spatial Frequency Roughness (MSFR) of mirror surfaces is 3.5%. After addition of the contribution to flare from figure error to that from MSFR, the modeled value is in excellent agreement to the measured flare for the 1 um line of 4.5%. The measured flare in the Horizontal (H) direction is 5% and is slightly higher than the flare in the Vertical (V) direction. Flare variation across the field is less than 1%.
The patterning targets for EUV resists at the 32 nm node are stringent, and will require significant resist development in order to meet these targets. In this paper, we benchmark the patterning performance of current EUV resists against Intel targets. Resolution data for dense L/S structures, isolated lines, and contact hole structures show that current resists are close to meeting Intel requirements for the 32 nm node, though further optimization is needed. A trade-off is seen between LWR and sensitivity (6.0 nm LWR and 6.8 mJ/cm2 for resist A versus 11.1 nm LWR and 2.7 mJ/cm2 for resist C). However even at the higher dose, the LWR target is far from Intel’s spe. At best dose and focus sidewall angles of 85° were measured, decreasing by as much as 10° - 12° as the resist moved out of focus by 400 nm.
One of the many technology decisions facing the semiconductor industry for the 45 nm node (and beyond) is the selection of the best critical dimension (CD) metrology equipment to meet the needs of process equipment suppliers and semiconductor manufacturers. In an effort to address this need we fabricated advanced metrology structures using the Nanowriter e-beam writing tool at the Center for X-Ray Optics (CXRO) at Lawrence Berkeley National Laboratory. The structures include lines and holes both in resist and etched into substrates. The smallest isolated CDs are 16 nm, while the smallest holes are less than 50nm. We used these samples to characterize a variety of metrology technologies. In this paper we discuss the capability of those technologies to measure structures having dimensions representative of the 45 nm and 32 nm nodes.
CD SEM’s used for CD Metrology in semiconductor fabs rely upon secondary electron emission to indirectly image features on process wafers. The use of secondary electrons by current CD SEM technology limits the resolution of this metrology and hinders its ability to meet future requirements. An idea that has garnered some interest from both the research and commercial sectors is to use backscattered, or primary, electrons with very low energy losses to image patterned features directly. Such a device would operate with acceleration (and landing) potentials in the range of 50 keV-200 keV. One concern is whether the high energy incident electrons will damage active devices. It has been hypothesized that the substrate’s reduced stopping power for high energy electrons will result in the majority of the electron energy being deposited far below the device structures. We have explored the issue of device damage from high energy and high dose incident electrons and find that this technique results in unacceptable transistor degradation at all of the doses and landing energies explored. We present our findings in this paper.
Resists for the next generation of lithography must be able to meet stringent line width roughness (LWR) targets. The LWR requirements, governed by device performance, are the same regardless of the lithographic technology that is chosen. Unfortunately no resist platform for any technology (EUV, 157 nm, 193 nm) is on track to meet the targets for the 45 nm and the 32 nm technology nodes. In order to understand the fundamental sources of LWR, we designed an experiment to statistically vary resist parameters for EUV resists. The results of this study show methods to improve LWR and shed light on the sources of LWR.
The success of extreme ultraviolet (EUV) lithography depends upon developing resists that meet the patterning requirements for the technology node in which EUV is inserted. This paper presents Intel’s patterning requirements and development strategies for EUV resists. Two of the primary problems for EUV resists are meeting the linewidth roughness (LWR) requirement, and reducing resist absorbance to obtain good sidewall profiles. Benchmarking data shows that none of the current EUV photoresists meet LWR targets. Modeling results for EUV resists show the impact of resist absorbance on sidewall angle and resolution.
Intel’s recent 157nm fluoropolymer photoresist development is described, including the benchmarking of photoresist patterning and the suitability of resists in typical Intel etch processes. The imaging results show that the new ultra-low absorbance resists (absorbance <1/μm) show great promise for meeting the 65nm-node ITRS targets. The materials also show good etch resistance when exposed to SiO2, Si3N4 and SixOyNz dry etch chemistries.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.