Recently published experimental results indicate that current resists seem to be very hard to meet the International
Roadmap for Semiconductors (ITRS) goals for Resolution, Line Width Roughness (LWR) and Sensitivity (RLS)
simultaneously. This RLS trade-off has also been demonstrated through modeling work. RLS goals may not be possible
for lithographers to achieve all three simultaneously by applying current standard chemically amplified resists and
processes. In this paper, we have synthesized the various PAG(photo-acid generator) bound polymers for different anion
size and other molecular weight (Mw). In order to reach the EUV resist targets, we investigate the effect of diffusion
length on energy latitude(EL), resolution and LWR under DUV light and EUV exposure. We will also use DUV light to
explore the impact of DUV contrast on the RLS relationships in EUV performances. We have measured Eth and LWR in
DUV patterning process and correlated them with those obtained in EUV process. By using DUV light source we have
setup EUV resist pre-screening and improving method.
To obtain high resolution and sensitivity and low line width roughness (LWR), the resist film homogeneity is thought to
be the key requirement of extreme ultraviolet lithography (EUVL) resist materials. We have synthesized of a new class
of chemically amplified molecular glass resists containing rigid triphenolic cores which are protected by flexible side
chains. We analyzed the electron density distribution of resist films (70 nm) by using X-ray reflectivity (XRR). The
effects of protection ratio, high and low activation protecting groups, chain lengths have been tested using selected
molecular resist. We discuss the effects of the chemical structures of new molecular resists on EUV lithographic
performances.
Mask defect is one of the biggest problems in Extreme Ultraviolet Lithography (EUV) technology. EUV mask must be free of small defects, requiring development of new inspection tools and low defect fabrication processes. So, we studied the influences of the defects on the mask for 22 nm line and space pattern. First, we changed the light quality caused by the various wavelength shift, incident angle, and the defect material with different refractive index. Second, we changed the defect size from 20 nm to 16 nm because 18 nm defect is assumed to a critical defect size for 22 nm node. Third, we also changed the defect positions; on top of the absorber, on the valley of the absorber, and at the sides of the absorber. Finally, we simulated the influence for the different shaped defect. A square pillar defect shows very different behavior compared to the more realistic round shaped defect. Defect of higher refractive index gives little influence, while defect of lower refractive index gives larger influence. A more realistic elliptical shaped defect gives less influence compared to square shaped defect. All the defect and EUV parameters will influence to the printability of the defect, but more study is needed to judge whether a certain defect can influence the printed pattern.
In the past several years, ArF immersion lithography has been developed rapidly for practical applications. ArF
immersion lithography is now researched actively and developed for the purpose of implementing the 45-nm technology
node. For the device designs involved immersion lithography, line width roughness (LWR) and film wettability are very
important criteria to control in the point of high resolution and defectivity.
Free radical polymerization in the presence of thiocarbonylthio compounds of general structure Z-C(=S)S-R provides
living polymers of predetermined molecular weight and narrow molecular weight distribution by a process of reversible
addition-fragmentation chain transfer (RAFT). A rationale for selecting the most appropriate thiocarbonylthio
compounds for a particular monomer type is presented with reference to the polymerization of methacrylates, styrenes,
acrylates, acrylamides, and vinyl acetate.
In this study, resist polymers with narrow polydispersity (PD) and controlled molecular structure were prepared using
controlled radical polymerization techniques, such as RAFT polymerization. PD index of polymers showed between
about 1.2 to 1.4 and in some instances, between about 1.1 to 1.2 or less. Additionally, each polymer chain has a RAFT
end group. That is the resulting polymer contains a chain transfer agent (CTA) moiety at each terminal end of polymer
backbone. It is possible that hydrophobic CTAs can be used to decrease the hydrophilicity of resist film.
ArF Immersion lithography is the most promising technology for 45nm node and possibly beyond. However, serious
issues in ArF immersion lithography for semiconductor mass production still exist. One of the issues is immersion
specific defects, which are caused by photoresist component leaching and residual water droplets. In order to minimize
immersion specific defects, preventing water penetration into the resist film is regarded as an important factor. Several
research groups have reported that higher receding contact angle reduced defectivity. High receding contact angle of
film surface prevent water penetration into the resist film due to the hydrophobic nature. Resist component leaching
phenomenon also can be caused by the water penetration into the film, so hydrophobic resist can reduce leaching
quantity.
In this paper, to investigate chemical leaching from resist surface, we evaluated the leaching value of PAG anion and
contact angles of various polymers according to their hydrophobicity. Hydrophilicity of a polymer was changed by the
degree of hydrophobic group substitution to polymer chain. We measured receding contact angle with four different
resists composed of water-repellent functiona group. Receding contact angle of resist surface increased as the portion of
water-repellent functional group increased. Also, the leaching amount of PAG anion decreased as the receding contact
angle of film surface increased. We expect that higher receding contact angle prevents chemical leaching from resist film
by repelling water at the surface. We will report detailed results in this paper.
As the feature size becomes smaller, it is difficult for the lithography progress to
keep pace with the acceleration of design rule shrinkage and high integration of memory device.
Extreme Ultra Violet Lithography (EUVL) is a preferred solution for the 32nm node. In this
paper, we have synthesized two types of polymers. One is based on hydroxy phenol, the other
is based on hydrocarbon acrylate type polymer. We have diversified each polymer type
according to different activation energies for deprotection reaction. In this experiment, we have
observed on the resist lithographic performance such as resolution, LER (Line Edge
Roughness), photo-sensitivity, and out-gassing during exposure. Different properties according
to activation energy were well explained by acid diffusion and polymer free-volume.
Immersion materials have to overcome immersion-issues for successful wet process introduction to semiconductor
mass production. Component-leaching issue is one of the most influential wet process huddles, which is related to
immersion-liquid and projection lens contamination as well as resist patterning performances. In this paper, we will
introduce our experimental results of leaching blocking effects resulted from the modification of polymer and additive
structures and from the application of top surface blocking layers. PAG-leaching level of resist film formed of low Tg
resin shows the highest meanwhile that of high Tg resin is the smallest leaching value. The interaction forces between
additives and resin platforms are the most important to prevent additives leaching to immersion liquid.
We have tested 3 different types of resin structures to modify the interaction forces between resin platform and resist
components especially PAG molecules and photo-generated acid molecules. We changed 2-hydroxyethyl
methacrylate(2-HEMA) contents to be 5, 10, 15% in our base resin, COMA-acrylate hybrid system to modify the
hydrophilicity of resist platforms. By mimicking immersion process to obtain wet-performance of their resists we have
obtained relative value of component-leaching. Interaction-force between resist platform and PAG was seemed to be
largest when resist component-leaching is least so that the pattern profiles become to be vertical. It was appeared that
the 5% 2-HEMA containing resin and TPS-Nonaflate PAG system showed the best performance because of its low
leaching resulted from their strong interaction forces.
Another polymer parameter to determine the component-diffusivity is glass transition temperature, Tg. Low Tg
means high mobility of resin by small thermal energy due to high free volume contents inside of the resist film which
can act as diffusion pathways of resist components. 10% MA resin system shows the lowest Tg, around 140 degrees C and the
most serious T-topping profiles.
Defectivity issue becomes more important in immersion process, which is most related to the partial leaching by
residual water and resulted in watermark defects. We evaluated the relative easiness of watermark formation on
different resist films by correlations between static and dynamic contact angles. We made 2 different resist films
composed of 2 different water-repellent functional group monomers. Static contact angles of developer as well as DI
water were measured. It was found indirectly that amphiphilicity of resist film surface makes positive effect on
watermarks formation, that is, more hydrophobic and more developer-philic resist film remains less residual water
droplets.
KEYWORDS: Polymers, Line width roughness, Lithography, Electroluminescence, Deep ultraviolet, Photoresist processing, Photomasks, Scanning electron microscopy, Temperature metrology, Chemically amplified resists
In DUV CAR resists, deprotecting reaction and dissolution behavior depend upon the bulkiness and activation energy of protecting group. These factors have influences on resist performance (resolution, focus and exposure latitude margin, line width roughness). For further understanding this behavior, we investigated the dissolution parameters of resist related to the bulkiness, activation energy of protecting group, and we confirmed their effects on the resist performance using lithography evaluation. We will report and discuss the effect of structure and chemical properties of each protecting group on the dissolution behavior of resist in detail in this paper.
Post exposure bake temperature sensitivity (PEB sensitivity) becomes important as the pattern pitch size shrinks gradually. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, activation energy for deprotection reaction, free volume of base polymer, and so on. Our works were conducted as a part of the basic study for searching influential parameter of PEB sensitivity. We found that PEB sensitivity relies largely on not only acid diffusion parameter, but also the hydrophilicity of base polymer and protection group ratio. Also, we observed that bulkiness of deprotection group has great influence on PEB sensitivity. Detailed results will be reported in this paper.
Immersion lithography has drawn tons of interests as a potential solution for sub-65nm patterning. High refractive index liquid, which is filled in the gap between exposure lens and a photoresist, can improve a resolution through increased effective numerical aperture (NA) of the exposure system. Most attractive liquid for this purpose is water. Our works were conducted as a part of the basic study for immersion lithography and aimed for the verification of leached resist components by water. It was observed that leaching relies largely on the free volume of a polymer and anion size of photoacid generator (PAG). The larger free volume and the smaller anion, the larger T-top resist profile was generated. Additionally, effects of solvents, quenchers and polarity of the polymer were investigated. Detailed results will be reported in this paper.
Post exposure bake temperature sensitivity (PEB sensitivity) is getting important for below 100nm device. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, stiffness and free volume of base polymer, and so on. Among them, the activation energy for deprotection reaction is regarded as the most critical factor. We have investigated the influence of protection group with various activation energies as well as Tg of polymer. Several different protection groups were incorporated into the polymer chain to modify activation energy of the resist. Also, we have investigated the influence of acid diffusion and quencher diffusion ability on PEB sensitivity. Three photoresists were formulated with different concentration of acid diffusion controller to asses the influence of acid diffusion on CD variation. And to evaluate the effect of quencher diffusivity on CD change, photoresist was formulated by adding amines having various different molecular size. Detailed results and new resist with reduced the PEB sensitivity will be reported in this paper.
Recently, there are lots of interest in using chemical amplification (CA) on electron beam lithography for application to photo mask fabrication, direct writing, and projection printing. E-beam resists introducing chemically amplification concepts provide superior lithographic performance in comparison with traditional non CA E-Beam resist in particular high resolution and sensitivity. In first approach, we applied CA concepts to acetyl polymer based E-beam resist (resist thickness: 4,000Å), which can print fine images (<100nm), meet sensitivity (10μC/cm2), and have stability against post exposure delay (PED)(>10hrs) using 50KeV E-beam exposure tool. But, there is vacuum delay problem (40nm CD shrinkage/5hrs) due to thermally unstable blocking group in polymer. To prevent this vacuum delay problem due to polymer-inherent thermal instability in low-activation-energy-acetal polymer, we newly designed various poly(hydroxystyrene-acrylate) copolymer derivatives that contained thermally stable (acrylate) acid-blocking group. In this presentation, first we will discuss the chemistry of newly designed copolymer derivatives, and second, vacuum delay effects and other lithographic performances (resolution, sensitivity, line edge roughness) of these resist systems.
Sensitivity and resolution capability of photoresist depend on various parameters, such as efficiency of photoacid generation, base strength, types and concentration of protection groups on a polymer, as well as lithographic process condition. We have prepared polymers containing different protecting groups and investigated their effects on the sensitivity, and eventually, on ArF resist photolithographic behavior. Also, several different photoacid generators (PAGs) and bases were employed to study the influence of them on the resist sensitivity. We have changed process condition, especially, bake condition to discuss the role of bake temperature on the photochemical efficiency of the resist. It was found that the diffusion of the photogenerated acid and bases is the most significant factor to determine resist sensitivity than others. The detailed results will be discussed in this paper.
Recently, KrF lithography has extended to 100nm technical node using various techniques and pushed ArF lithography to sub-100nm application. To enhance resolution, there are many problems to be solved, like dark erosion (dark film loss), sloped profile, line edge roughness (LER), and so on. Also, thin resist film must be used to prevent pattern collapse. In general, the aspect ratio is less than 2.5 for sub 100nm. For this reason, chemically amplified resist has to get high etch resistance, low dark film loss and vertical profile shape at maximum resolution. Many efforts have been made to solve these problems and to improve resist performance. In this study, we tried to resolve some of these problems using various acid-quenching systems. We estimated the quencher ability using acid diffusion depth in resist film by sandwich method and pKb values of amines. The changes of lithographic properties according to the application of different amines were investigated. It was found that acid-quenching ability of an amine was not related to its basicity from sandwich experiment results. In fact, quenching efficiency was more closely related to the amine molecular structure and bulkiness of a substituent attached to nitrogen atom. We observed that pattern shape and process margin were not directly related to the basicity of an amine, but more related to quenching efficiency. The amines having higher quenching ability show wider process margin. However, other lithographic properties such as LER and dark erosion were not affected by acid-quenching ability. It is believed that they are determined by other components including polymer, protection groups, and PAGs.
We have been interested in the effect of the residual solvent on lithographic performance. The concentration distribution of solvent molecules along the film depth and the amount of residual solvents depend on their physical properties: evaporation rate, boiling point, viscosity, and so on. Since fast-evaporating solvent can make a dense skin-like layer at the top of the resist film, faster evaporation rate of solvent makes thicker film, while slow rate results in thinner film. And the amount of residual solvent is dependent of the evaporation rate of the casting solvent. The amount of residual solvent was verified by TGA method. It was found that the amount of residual solvent is a major parameter to determine film thickness, stiffness of resist pattern, acid diffusion length, and pattern profile shape.
There are considerable interests in the application of KrF lithographic process to achieve the beyond 0.13 micrometers resolution pattern. Decreasing in KrF resist thickness for high resolution in the lithographic process needs improvements of Bottom Anti-Reflective Coating (BARC) physical properties such as high etch selectivity and low reflectivity in thin KrF BARC film (350 ~ 400 Angstroms). It is known that the real and imaginary refractive indices of BARC are changed by film density and absorbance. Our research group have studied two different chromophore classes (BM1 and BM2) of functional groups that are highly absorptive at 248 nm and achieve high etch selectivity. Also, we have evaluated the effects of polymer structure on BARC in terms of refractive index changes and film density.
Crown ether derivatives are composed of multi-ethyleneoxy units and have an electron rich cavity that can accommodate a proton. We have broadly investigated the effect of lone pair electrons of accumulated oxygen. First, we studied whether these crown compounds can control acid diffusion or not. Second, we synthesized monomers containing cyclic multi-ethyleneoxy units and studied their effect in polymers. Finally, we compared them with amines. Crown either, 18-crown-6, has a proper cavity to capture a proton by hydrogen bonding and actually had enough basicity to control acid diffusion. These studies show that crown ether derivatives can replace amines as a bases to restrain acid diffusion.
Various derivatives of modified poly(4-hydoxystyrene-co-4-(1-ethylethoxystyrene))(M-EEPHS) were synthesized by insertion of third monomer unit such as styrene, 4-acetoxystyrene, 4-methoxycarbonyloxystyrene, tertbutoxycarbonyloxystyrene, tert-butyl acrylate, and 4-(1-cyclohexylethoxy)styrene. Their dissolution rate behavior was investigated with different blocking level. From the average dissolution rate of M-EEPHS in a 2.38wt% TMAH solution as a function of the total protection%, hydrophobicity was proven as more influential factor for the dissolution inhibition rather than hydrogen bonding by ester or carbonate functionality in a blocking group. To study structural effect on KRF lithographic performance, resists containing M-EEPHS were formulated and testified. Defects that are found in EEPHS based resist, such as LER (Line Edge Roughness) and top surface erosion at defocus can be solved by incorporation of carbornate, bulky acetal functionality or dissolution inhibition group. When hybrid system, which contained both M-EEPHS and poly[4-hydroxystyrene-co-tert-butyl acrylate-co-4-(3-cyano-1,5-di-tert-butyl carbonyl pentyl styrene)](P(HS-TBA-CBPS)) as an annealing type resin, were compared with the lithographic results of single polymeric system (M-EEPHS only), their performances were directly projected to those of blends of high activation type and low activation type resin.
Novel organic PAGs have been prepared in order to improve line edge roughness (LER) of 248nm and 193nm resists. From careful design of PAG, transparent, highly diffusive PAG was successfully prepared. New PAGs have increased hydrophilicity in cationic portion of PAG(photoacid generator.).
We prepared ter-polymer of hydroxystyrene, tert-butyl acrylate and 4-(3-cyano-1,5-di-tert-butyl carbonyl pentyl styrene) (P(HS-TBA-CBPS)) and discussed a characteristic of prepared polymer. As TBA, newly introduced monomer increases, contrast of resist is improved. And the prepared polymer was blended with poly(4-hydroxystyrene-co-4-(1-ethylethoxystyrene)) (EE-PHS). The synergic effect on a resist performance in KrF lithography by the combination of high and low activation energy system was shown. A resist using blending polymer was shown a good performance on resolution and LER(Line Edge Roughness) than resist using polymer separately. Based on the results, it was found that high performance KrF resist could be obtained by optimization of polymer blending.
Dissolution rate of polymer has known as one of the most profound factors to determine profiles of DUV resists. A novel copolymer, poly[4-hydroxystyrene-co-4-(3-cyano-1,5-di-tert-butyl carbonyl pentyl styrene)] (PHSCBPS), was prepared and blended with poly(4-hydroxystyrene-co-4-(1-ethylethoxystyrene)) (EEPHS) to study the effect of dissolution rate on the KrF resist profile. The dissolution rates of the blends in aqueous alkaline solution were measured by dissolution rate monitor (DRM). Molecular weight and polydispersity were measured by GPC. Without altering other components of the resist, the profile was clearly affected by the dissolution rates of the polymer blends. In this paper we will describe resist profile change according to dissolution rate, molecular weight and polydispersity of polymer blend. For given blends, the best and optimum resist profile was found in the range of 200~300 Angstroms/min dissolution rate, 12,000 of molecular weight and 1.2~1.3 of polydispersity. In case of PAG, profile of KrF resist is also influenced by counter ion of PAG. Based on the results, high performance KrF resist composition was found by incorporating appropriate photo acid generator (PAG) and other additives. Optimized resist produced rectangular profile without losing process margin and resolution.
ArF lithography is pushing its limit to beyond the 100-nm node due to delay of NGL technologies to meet the aggressive insertion schedules. However, lithography process for 100-nm node with binary mask and ArF resist is still not easy to achieve and will be one of the big challenges for lithography community. Although there have been significant improvements over the past year, ArF resists remain as the most critical aspect in ArF lithography development. Areas of concern for ArF resist include; higher level of environmental instability compared to KrF materials, different response depending on the tone of reticles, and different performance exhibited between microsteppers used for initial development and full field scanners to be used in manufacturing. We expect that these problems will be getting worse in sub 100-nm node. To achieve the most challenging performance goals, the resist to be used in manufacturing will require optimization of the chemical formulation of commercialized resists based on specific design requirements, process and environmental conditions. This paper will describe an extensive DOE (design of experiments) that was performed in order to find better resist formulation from commercialized resists for our specific FAB environment. PAG, resin and amine were main three components for this DOE. After choosing the best resist for 100-nm node, we have will evaluated actual lithographic performance capability such as DOF, exposure latitude, etc.
We have developed several COMA (Cycloolefin-maleic anhydride) type resists and demonstrated their good lithographic performances, especially in the isolated line. Our resist (DHA-H110) was newly upgraded for the manufacturing of sub-100nm device in terms of bulk slope, LER (Line Edge Roughness), CD Linearity, and matching with substrate to prevent pattern collapse. The chemical structure of base resin was almost unchanged. The bulk slope resulted from high absorbency of the matrix resin was successfully overcome by introducing new additive, S1, which is an agent to remove not only top loss but also footing in the bottom. In real device application, DHA-H110 exhibits better adhesion and smaller LER than acrylate type resists on organic BARC. In addition, it shows superior pattern profile after etch process to acrylate type resists. In this paper, we suggest resist related issues for sub-100nm patterning and present lithographic performances of DHA-H110 in detail.
The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.