An ultra-fast image simulation algorithm is proposed. The new algorithm uses full fast-Fourier-transform (FFT) to calculate the aerial image intensity. The wavelength, 193 nm, was scaled to a number of powers of 2, through scaling the mask with a scaling factor derived from the discrete Fourier transform (FT) format. The mask can then be transformed to the diffraction spectrum in terms of spatial frequency using the FFT algorithm. Similarly, this mask diffraction spectrum can be inverse transformed to the aerial-image by using the inverse-FFT algorithm. The image is finally scaled back to the original image amplitude of the original wavelength and squared to the image intensity. Comparing to the original FT, there is a 4000 × to 5000 × computation speed improvement with only about 3% intensity deviation. This algorithm provides an efficient engine for lithography optimization.
As chip manufacturers seek to reduce the pitch of metal layers, there is growing interest in replacing the multiple patterning process of 0.33NA EUV with a single patterning of high NA (0.55NA) EUV. However, to resolve a logic metal layout with a minimum pitch of 20-24nm, careful use of resolution enhancement techniques (RETs) and SMO (source mask optimization) is required in high NA EUV. Logic metal patterns are complex and have various feature designs, making it essential to ensure sufficient patterning performance across all pitches and for variations of tip-to-tip (T2T) structures with a tight size. In this study, we simulated and evaluated patterning on a typical logic metal layout representing 1.4nm to 1nm technology nodes. Our study demonstrates that compromised criteria are necessary to ensure the NILS (normalized image log-slope) level of the minimum pitch and the overlap critical dimension (CD) process window (PW). In optical proximity correction (OPC), sub-resolution assistant features (SRAF) help to improve the process window of isolated and semi-iso line-and-space (L/S) patterns. However, we found that the limiting patterns are the tight T2T structures. Aberration sensitivity showed a linear response that is more pronounced to pattern placement errors (PPE) than to CDs. The coma series aberration showed the highest sensitivity to PPE and CD. Overall, our study demonstrates that RETs, rigorous SMO solutions, and a minimum T2T size are required to achieve the replacement of 0.33NA EUV multi-patterning with a 0.55NA EUV single patterning (SP) for logic metal layers with minimum pitches of 20-24nm.
The high-reflectance phase-shifting mask (HR-PSM) is studied for patterning 36nm-pitch logic contact holes and compared with other mask absorbers in terms of imaging performance (ILS, LCDU, MEEF, etc.) and exposure dose. To this end, wafer-data-calibrated EUV resist models for CAR and MOR are used. Our simulation results show that a HRPSM produces dark-field images at large mask CD. However, as mask CD decreases, the tone of the images is reversed and bright-field images of good contrast can be generated. Based on this observation, a HR-PSM plus MOR patterning approach is proposed for through-pitch logic contact hole applications with a minimum pitch equal to 36 nm. We show that this approach demonstrates multiple enhancements in terms of through-pitch performance and enables us to extend the practical resolution of logic contact holes below the pitch of 40 nm using the 0.33NA EUV scanner.
We explore in this study imaging performance of horizontally oriented logic metal layer designs computationally, focusing on metal patterns with a pitch of 20nm (P20) and a tip-to-tip (T2T) range of 8- 35nm using 0.55 NA EUV single exposure. Simulations are performed by the method of source-mask optimization (SMO) using the TachyonTM software. The key assessed imaging parameters include the (normalized) image log-slope (NILS/ILS), overlapping depth of focus (DOF), and best focus (BF) shift. Simulation results show that traditional TaBN masks offer a reasonable overall contrast and DOF of both dense lines and through-pitch line patterns. Low-n masks offer benefits in contrast and dose. Low-n masks also show improvement in BF shift range, but such benefits vary with the extinction coefficient k as well as the pitch range and pattern selections. Other resolution enhancement techniques such as subresolution assistant features (SRAF) and bright-field imaging associated with metal-oxide-resists (MOR) also provide help to improve patterning performance. Metal designs with thick power rails have an impact on the contrast of neighboring patterns. Inner SRAF OPC and narrow power rails can effectively eliminate this impact. Patternable T2T size is type-dependent. Our study finds a flat ILS when T2T size is ≥15nm (for P20 lines) and a quick ILS drop when T2T size is < 15nm. It also reveals that complex T2T designs (e.g. staggered T2Ts) have lower contrasts. Additional care is needed in OPC other than avoiding such patterns in design. Our study confirms the potential replacement of 0.33 NA 3- to 4-time multipatterning by 0.55 NA single patterning.
EUV lithography enables the transition from multiple patterning in DUV back to single patterning in EUV, with the associated cost benefit. While imaging and patterning becomes easier with EUV, cross-platform overlay performance needs to be taken into account.
With quadruple patterning, the matching performance is driven by the platform capabilities, with platform specific fingerprints not contributing to the matching performance as they are similar for each layer. Introducing EUV automatically means we need to compensate for the differences in the platform fingerprints, as they bring a penalty in the DUV-EUV matching budget.
This paper will explain what the main overlay contributors in cross-platform matched machine overlay are and how they can be cancelled or reduced using additional correction measures, with the goal to reach below 2.0 nm cross matched machine overlay.
The inverse polarizing effect of Sub-Wavelength Metallic Gratings (SWMGs) is employed to improve the lithography performance by controlling the polarization. The SWMGs are intentionally created on the top surface of mask. Its polarization selectivity is deliberately designed according to the bottom mask patterns. A series of simulations and optimizations on SWMG structures were done in order to achieve better image quality. We demonstrate that the contrast of aerial image can be improved by designing the inverse polarizer on mask (iPOM) for some specific layout patterns. We also reveal that the double diffraction inevitably occurring in-between the iPOM and layout pattern may damage the image quality in most situations. This leads to narrow usage of iPOM. An alternative to overcome the double diffraction is proposed by optimizing the refractive index and thickness of layout absorber to make the polarization selection feasible without iPOM.
A theoretical analysis to estimate the effect of shot noise on CDU is induced from optical imaging perspectives combined
with quantum theory, and is studied for 193-nm, EUV, and electron beam lithography. We found the CDU variation
from shot noise is related to the number of particles absorbed in the printed area and to the image log slope (ILS). Hence,
the CDU variation contributed by shot noise gets worse when the technology node advances from 45- to 32-, 22-, and
15-nm, EUV with higher ILS is no exception. For e-beam lithography, we are interested in the values of ILS calculated
from array structures with different pitches, backscattering, wafer-stage movement, and raster-scan writing.
This paper reports the water-leakage mechanism of the immersion hood in an immersion scanner. The proposed static
analysis reveals the immersion hood design performance in defect distribution. A dynamic water-leakage model traces
the leaked water and identifies its position on the wafer, during exposure. Comparing simulation to experimental results
on bare-silicon and resist-coated wafers, the defect type, source of residuals, and critical settings on the immersion
system were clearly identified.
193-nm immersion lithography is the only choice for the 45-nm logical node at 120-nm half pitch and extendable to 32-
and 22-nm nodes. The defect problem is one of the critical issues in immersion technology. In this paper, we provided a
methodology to trace the defect source from optical microscope images to its SEM counterparts after exposure. An
optimized exposure routing was also proposed to reduce printing defects. The average defect count was reduced from
19.7 to 4.8 ea/wafer.
This letter reports record-breaking low defect counts for immersion lithography, the mechanism for formation of particle-printing defects, and for two new exposure routings to achieve the low defect counts. Both new routings make the slot-scan directions parallel to the field-stepping directions, whereas in the normal routing the two directions are perpendicular to each other. From experimental data, the average defect count for one of the special routings is 4.8 per wafer, while it is 19.7 per wafer for normal routing.
The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.
The non-paraxial correction term of high-NA effect was studied for scalar field in optical microlithography. However, the correction term of scalar field should be modified for vector field. Based on a thin mask, the characteristic of vector field can be described with the Symthe-Kirchhoff formula. The non-paraxial correction term of vector field can be derived with the combination of both the law of energy conservation and sine condition on entrance pupil and exit pupil. The correction term of vector field depends on the degree of polarization of incident light. As the result, the correction term of TE wave of vector field is the same characteristic as that of scalar field. However, the correction term of TM wave of vector is different from that of scalar field.
ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.
We propose a useful methodology, called phase-defocus (P-D) window, to express the mutual dependence of Alt-PSM mask structure and the wafer process window of the pattern-position shift caused by phase error and intensity imbalance. The P-D window was predicted and optimized with a 2-D mask with effective phase and transmission by simulations. We further used rigorous E-M field simulations to correlate the 3-D mask structure to those optimized conditions. Moreover, experiments were performed with four kinds of mask structures and the best Alt-PSM structure was obtained and used to suggest the mask fabrication performance based on P-D window analysis. In order to understand the influence of mask fabrication on patterns with various densities, the common P-D window is proposed. Using the P-D window, the optimized condition was achieved with a maximum process margin for the mask and wafer. In addition, the P-D window is used to quantify the scattering effect coming from the topographical mask and determine the effective 180° for the iso-focal condition.
This paper introduces the continuous wavelet transform (CWT) techniques to characterize spatial frequencies of LER. A 890 nm length of line pattern was dissected with 448 measured-points along line-edge from the image of scanning electron microscope (SEM), and the dissection of measurement points is around 2 nm. The measured data of line-edge roughness (LER) were transformed to spatial power spectrum with commercial software packages of wavelet transform, and the characterization of spatial frequency correlated to lithographic process parameters, such as the soft-bake (SB) temperature, the numerical aperture (NA), the temperature of post-exposure baking (PEB), and the molecular weight of resist (MW) were investigated. The higher NA and lower SB give a significant improvement from low spatial frequency (long range LER) to higher one (short range LER). However, both the higher temperature of PEB and lower MW improve edge roughness only on long range order roughness (lower spatial frequency).
The concept of system invariance is the principle of scaling law in optical lithography. Both the conservation of the intensity threshold of the aerial image and the invariant pupil filling of the diffracted light with the normalized numerical aperture (NA) have to be satisfactory in order to ensure the invariance for a system in a variety of optical settings. Two well-known scaling equations with k1 and k2 factors characterize the capability of the manufacturing process in microlithography. In theory, the validity of these two equations has to be based on the principle of invariance. Therefore, any optical parameters in exposure tool could be scaling validly and properly, once they obey the principle of invariance.
Three important concepts about the mask error enhancement factor (MEEF) are proposed. From the definition of MEEF, it could be derived as a function of the image log slope and the aerial image variation caused by mask critical dimension (CD) errors. Second, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex 2-D response to the mask-making error around the line end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented.
The scattering of bubbles in water by 193-nm light is characterized analytically with Mie scattering theory. The angular-resolved spectra with bubble sizes 100 nm, 1 μm, and 10 μm are calculated. For large bubbles, the forward scattering becomes very strong and therefore introduces a pattern-dependent flare. The normalized cross section with variant bubble size is also calculated. For bubble sizes smaller than the incident wavelength, the cross section decreases steeply and is explained by Rayleigh scattering. A contour plot of the normalized cross section versus the bubble size and the variance of refractive index is also calculated. This plot is explained with the Born approximation and is used to characterize the temperature control of the water during exposure. Finally, a statistical model is suggested to predict the image degradation caused by the phase information loss after the scattering. A bubble density 0.03/μm3 with 1-μm bubble size causes a loss of exposure latitude from 28 to 22%.
A simple graphic analysis technique named the illumination chart method is introduced to aid the customization of the illumination aperture filter for synergistic combination with a high transmission rim-type attenuated phase-shifting mask (PSM) for deep submicron contact hole printing. This graphic method gives direct visualization of the relationship between the interference condition in the pupil and the incident angle of illumination. The working ranges of oblique illuminations with different numbers of diffraction beams taking part in imaging can be easily clarified by this graphic method, which explains the dependence of depth of focus (DOF) on pattern duty. A customized illumination aperture filter (CIF) is synthesized by collecting the effective source elements for every pattern pitch to remedy the inability of the attenuated PSM for dense patterns. To preserve the merits of off-axis illumination to dense patterns and on-axis illuminations to sparse patterns in a single exposure, the illumination chart suggests a zeroth-order-reduction mask design for dense hole pattern. We applied this integrated resolution enhancement technique to 0.17 μm contact hole printing in 248 nm wavelength, 0.55 numerical aperture optics. The experimental results show our CIF illumination not only balances the DOF enhancement throughout the pattern pitches but also suppresses the best focus shift due to spherical aberration.
Three important concepts about the mask error enhancement factor (MEEF) are proposed in this paper. From the fundamental assumption, the MEEF is derived to be a function of the image log slope and the aerial image variation caused by mask making error. Secondly, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex two-dimensional response to the mask making error around the line-end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented in this paper.
Controlling errors of critical dimension (CD) uniformity is crucial to achieving optimal IC performance, high chip yield and long lasting reliability. When the CDs to be resolved are less than the wavelength equipped by a lithographic exposure tool, the chip level CD variations caused by optical proximity effect (OPE) have been found significantly. With the relentlessly reduced CDs in integrated circuits the impact of OPE to chip yield and performance is much more profound and necessitates an inverse correction. In this paper, we report a model-based full-chip OPC on the contact hole layer of 0.13-micrometers logic circuits using 248-nm photo processing and attenuated phase-shifting mask (Att PSM). The final result demonstrates that OPE of random logic contact hole level can be greatly surpassed and controlled even with mask errors and their enhancement factors included of which are typically quite significant with layers of contact holes.
In this paper, logic device patterning of 0.16-micrometer trenches for the 0.13-micrometer node using 248-nm light and 0.13-micrometer trenches for the 0.10-micrometer node using 193-nm light is investigated. Severe proximity effect through all pitches and small depth of focus for isolated trenches bring great challenges. To produce manufacture-worthy process windows, lithographic techniques such as optical proximity correction, annular illumination, sub-resolution assist features, and attenuated phase-shift mask are considered. No prominent performance gain is achieved in the aforementioned combination if full-pitch-range performance is required. However, manufacture-worthy 0.5-micrometer depth of focus can be obtained through all pitches by replacing annular illumination with quadrupole illumination while retaining sub- resolution assist features and optical proximity correction, even without having to resort to attenuated phase-shifting mask. We also observe that attenuated phase-shift mask or dipole illumination improves depth of focus and photoresist profile of dense patterns only in the cases studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.