KEYWORDS: Extreme ultraviolet, Systems modeling, Monte Carlo methods, Polymers, Molecules, Oxygen, Diffusion, Chemical species, Extreme ultraviolet lithography, Ions
The development of extreme ultraviolet (EUV) lithography towards the 22 nm node and beyond depends critically on the availability of resist materials that meet stringent control requirements in resolution, line edge roughness, and sensitivity. However, the molecular mechanisms that govern the structure-function relationships in current EUV resist systems are not well understood. In particular, the nanoscale structures of the polymer base and the distributions of photoacid generators (PAGs) should play a critical roles in the performance of a resist system, yet currently available models for photochemical reactions in EUV resist systems are exclusively based on homogeneous bulk models that ignore molecular-level details of solid resist films. In this work, we investigate how microscopic molecular organizations in EUV resist affect photoacid generations in a bottom-up approach that describes structure-dependent electron-transfer dynamics in a solid film model. To this end, molecular dynamics simulations and stimulated annealing are used to obtain structures of a large simulation box containing poly(4-hydroxystyrene) (PHS) base polymers and triphenylsulfonium based PAGs. Our calculations reveal that ion-pair interactions govern the microscopic distributions of the polymer base and PAG molecules, resulting in a highly inhomogeneous system with nonuniform nanoscale chemical domains. Furthermore, the theoretical structures were used in combination of quantum chemical calculations and the Marcus theory to evaluate electron transfer rates between molecular sites, and then kinetic Monte Carlo simulations were carried out to model electron transfer dynamics with molecular structure details taken into consideration. As a result, the portion of thermalized electrons that are absorbed by the PAGs and the nanoscale spatial distribution of generated acids can be estimated. Our data reveal that the nanoscale inhomogeneous distributions of base polymers and PAGs strongly affect the electron transfer and the performance of the resist system. The implications to the performances of EUV resists and key engineering requirements for improved resist systems will also be discussed in this work. Our results shed light on the fundamental structure dependence of photoacid generation and the control of the nanoscale structures as well as base polymer-PAG interactions in EVU resist systems, and we expect these knowledge will be useful for the future development of improved EUV resist systems.
Directed self-assembly (DSA) of block copolymers offers opportunities for resolution enhancement of existing patterning by pitch splitting, contact hole (CH) shrinks or, improvement of pattern profile or patterning window.1-2 By co-optimization of guiding pattern geometry, guiding pattern profile, block copolymer formulation, pattern transfer steps, the after-etch DSA patterns meet target pitch ratio. This DSA assessment shows combination of DSA and single iArF-patterning has potential to meet the specific CD dimension and pitch requirement of a conventional patterns that requires double-patterning.
To meet Moore’s law, resist resolution improvement has become more and more important. However, it is difficult to improve resist resolution and keep vertical sidewall profile. For example, a high contrast hole resist may cause trench scum, due to very T-top profile. This paper reports several concepts for resist profile tuning without losing performance for lithographic factor , including mask error enhancement factor (MEEF), depth of focus (DOF), and critical dimension uniformity (CDU). To quantitative analysis the resist profile improvement, we define a new factor, Scum fail ratio (F/R%) for new techniques evaluation. The new techniques, including floatable additive, floatable PAG, and new monomer, are discussed. From X-SEM and CD-SEM data, former three concepts could improve resist sidewall profile quantitatively evaluated by Scum fail F/R% and keep lithographic factors. In addition, another key factor, resist residue defect, is also discussed. The high contrast resist with higher receding contact angle (RCA) easily generates more residue defect after development. With the new monomer composition, RCA of Resist E is decreased from 54 to 48 degree after development. Therefore, the residue defect is improved one order.
An alternate negative tone resist is investigated for advanced lithography. Unlike conventional negative tone development (NTD) resists developed with organic solvent, this negative resist use TMAH as its developer. Thermal acid generator (TAG) and photo base generator (PBG) are proposed for this resist. PBG decomposes and generates alkali at the exposed area and neutralizes the acid from TAG. Hence, positive resist can produce negative tone image (NTI), and gain better optical contrast than positive tone imaging. The new negative resist reported in this paper also shows better resolution than conventional negative resist. Several optimization studies are also reported. In addition, major limitations on further improving resist resolution are also pointed out in this paper. The solution proposed has been proven workable from experimental results. This opens the possibility to combine better optical contrast from NTI, high resist resolution from positive resist resin, and better development contrast from TMAH solution.
For semiconductor manufacturing of k1<0.3 half pitch, immersion lithography is still
indispensable for process development and production. As the minimum feature size reaches the
resolution limit, many resolution enhancement techniques and processes are developed to meet
the stringent imaging requirements. Since the optical contrast is not sufficient for low-k1
application, the optimizing conditions for DOF, MEEF, LWR, 2D features, top-view profile, and
defect become more challenging than ever for manufacturing. The low-k1 induced poor ADI
(after development inspection) end-to-end profile is deleterious to pattern fidelity that may
further impact the AEI (after etching inspection).
From a previous study, the photo-decomposed base (PDB) has been proven effective in
enhancing the resist contrast and improving the DOF from conventional quenchers. In this paper,
we study its further improvement on litho performance by controlling the diffusion lengths of the
PAG and the PDB. We split the polarity and size of the PAG and PDB to control the diffusion
length. The top view profile is improved from rounding to vertical if a longer diffusion length of
the PDB is selected. The scattering bar printing window can also be improved in such a
condition. If the PAG and the PDB have better matching controls, the MEEF, LWR, CDU, and
end-to-end top view profile are improved as shown in Fig.1.
Unlike optical systems, electron-charging effect is a concern for e-beam lithography. Accumulated charge on the
resist will perturb the route of incident electrons, resulting in pattern distortion or failure. Therefore, reducing charge
accumulation becomes an important topic for high-pattern-density e-beam applications.
In this paper, we used a conductive material as the resist substrate for charging effect evaluation. The e-beam source
from CD-SEM (Critical Dimension SEM) was initially used to conductive performance qualification. When comparing
with non-conductive BARC, we found that the experimental conductive material has an additional 11% to 14%
resist-shrinkage than a non-conducting BARC. However, we cannot repeat this phenomenon in the multiple-e-beam
(MEB) imaging tool. From Monte Carlo simulation, the electrons deeply penetrate through the substrate instead of being
trapped in the resist substrate. It further indicates that although conductive bottom layer can dissipate electron effectively
for surface charging, the film scheme as well as tool grounding are also important for minimizing the charging effect.
As the critical pitch continues to shrink for advanced technology nodes and the EUV tool is
not yet mature, the demand for ArF high-contrast resist becomes stronger than ever. In this paper,
we discuss the impact of photosensitive quenchers to lithographic performance. Two types of
photosensitive quencher, photo-base generator (PBG) and photo decomposable quencher (PDQ),
are studied for its ability to extend the life of immersion ArF lithography. With conventional
photoresists using normal non-photosensitive quenchers, the aerial image was substantially
linearly transferred to the acid image of the photoresist stimulated by photo acid generator (PAG).
The new PBG or PDQ serves as one additional photosensitive component. Such photosensitive
quencher changes its base level after exposure. Thus, it modifies the aerial image for better
imaging performance. We will present and discuss the imaging results from various formulations
of photosensitive quencher and variation in its concentration. The defect performance of these
new approaches will also be characterized.
In a conventional lithography process, the resist pattern is removed by dry strip or wet
chemical etch. The wet chemical etch includes sulfuric peroxide etch and solvent etch. The
wet chemical etch process is always combined with the dry strip process to meet the residue
process spec. However, in some applications, only the wet-etch process can be used to
avoid substrate damage during the plasma step. However, organic residue can be found
from particle surface scan and TGA/DSC after normal solvent strip.
In this paper, we investigate polymer residue stripping using only solvent as well as solvent
in combination with UV treatment. For solvents only, some solvents different from the
conventional PGMEA/PGME mixture in polarity, also exhibited stripping ability but the
efficiency is not as good as PGME/PGMEA mixture. When supplemented with UV
treatment, the organic residue can be further decomposed and removed completely. The UV
we used contains 185nm and 254nm wavelengths. Ozone is generated during UV
exposure and acts as oxidant. The organic residue is thus decomposed and removed. It has been proven as an effective method to cleave the C-C bond without damaging the wafer substrate. The organic residue on the wafer surface can be easily stripped away under UV-ozone exposure. Its defect performance is also discussed in this paper.
At the most advanced technology nodes, such as 45nm and below, aggressive OPC and Sub-Resolution Assist Features
(SRAFs) are required. However, their use results in significantly increased mask complexity, making mask defect
disposition more challenging than ever. In an attempt to mitigate such difficulties, new mask inspection technologies
that rely on hardware emulation and software simulation to obtain aerial image at the wafer plane have been developed;
however, automatic mask disposition based on aerial image is still problematic because aerial image does not give the
final resist CD or contour, which are commonly used in lithography verification on post OPC masks. In this paper, an
automated mask defect disposition system that remedies these shortcomings is described. The system, currently in use
for mask production, works in both die-to-die and die-to-database modes, and can operate on aerial images from both
AIMSTM and aerial-image-based inline mask inspection tools. The disposition criteria are primarily based on waferplane
CD variance. The system also connects to a post-OPC lithography verification tool that can provide gauges and
CD specs, which are then used in the mask defect disposition.
Surfactant treatments, with SCR101 and EX01, were applied to both line-space and hole
patterns in this report. 10% Reduction of line-width roughness and the raise of normalized
aspect ratio were observed in line-space patterns after surfactant treatments, compared with
those only treated with DI water. From top-view and cross-section images of hole patterns, it
was found that bottom scum was eliminated and the contact-edge roughness (CER) was also
improved after surfactant treatments. Although 1 to 5% shrinkage of patterns appeared, the
depth of focus (DOF) of hole patterns was still increased due to removal of bottom scum. By
applying the surfactant treatment, we were able to improve not only line width roughness and
collapse margin of line-space patterns, but also CER and DOF of hole patterns.
To optimize the anti-reflectant material (BARC) in 193nm resist processes requires a careful manipulation of the surface energy of the BARC. In general, the surface energy of the BARC is constant in the unexposed and exposed areas. We have developed a new material with a "switchable" contact angle (SBARC) whose key criteria are as follows: (1) High contact angle at about 70 degrees in the unexposed areas under the resist to prevent developer and water penetration; (2) Maximized adhesive of the SBARC to the resist. (3) Contact angle less than 50 degrees in the exposed areas at the BARC surface to reduce the density of satellite-type defects. The low contact angle in the exposed areas reduces the adhesive forces between the hydrophobic resist residues and the more hydrophilic SBARC surface and thus lowers defects. In addition, the hydrophilic SBARC surface can reduce water drop residues and therefore reduce watermark defects. This paper will also describe our process work to optimize the contact angle of unexposed and exposed BARC surface to reduce pattern collapse and minimize satellite defects. We will also discuss a few methods to improve the surface condition of the SBARC to maximize adhesive forces. Further optimization of the develop process and the refractive index and the absorption coefficient of the SBARC, will provide even better collapse margin for 193-nm resists than the present baseline.
In immersion lithography, DI water fills the space between the resist surface and the last lens element. However water is also a good solvent for most of the leaching compounds from resists. The leaching materials from the resist and the original impurities in the water from pipelines pose a significant risk on bottom lens deterioration, wafer surface particles, and facility contamination. If the bottom lens surface deteriorates, it can cause flare and reduce transparency. Particles on the wafer surface can degrade image formation. In addition to contaminating the facility, the impurity inside the water can cause stains or defects after the water is evaporated from the wafer surface.
In order to reduce the impact of such contamination, we have evaluated many chemicals for removing organic contamination as well as particles. We have collected and characterized immersion-induced particles from cleaning studies on bare silicon wafers. We have also used oxide wafers to simulate the lens damage caused by the cleaning chemicals. In case, a mega sonic power is not suitable for scanners last lens element in production FABs, the emulsion concept has also been adopted to remove the lens organic contaminants. We have studied many chemical and mechanical methods for tool cleaning, and identified those that possess good organic solubility and particle removal efficiency. These cleaning methods will be used in periodic maintenance procedures to ensure freedom from defects in immersion lithography.
In immersion lithography, water drop residue has been identified as the source of watermark defects. Many methods have been studied to reduce water drops outside of the immersion area. However, from a physical point of view, the wafer surface is very hard to keep dry after immersion exposure. The water drop residues easily cause watermark defects that ranges from micrometer-size circular defects to sub-micron scum defects. In this paper we describe a few new methods to understand watermark formation. We also describe our studies on various water drop sizes and their impact on CD and defects. Our results show that major watermark defects occur as a result of the presence of relatively small water drops. A few methods have also been studied to reduce the impact of watermarks in order to remove watermark-induced pattern defects on wafers. These methods include post-immersion exposure treatment as well as novel material and process improvement methods. By applying these methods, we are able to remove watermark defects without an additional resist protection layer while still maintaining good resist lithographic performance.
The critical dimension (CD) of contact holes for the 65-nm application specific integrated circuit (ASIC) is 100 nm according to the 2002 update of the International Technology Roadmap for Semiconductors. The common through-pitch depth of focus (DOF) of such contact holes is very small using the current ArF exposure tool. High-numerical-aperture (NA) ArF exposure tools are not expected to improve the common DOF that scales by the square of the numerical half aperture. High-transmission attenuated phase-shifting masks increase the DOF of isolated contact holes. Off-axis illumination such as annular or quadrupole illumination improves the DOF of dense contact holes. Nonetheless, both the isolated and the dense contact holes need to be printed within spec on logic circuit.
To delineate 100-nm contact holes at several different pitches, we proposed the pack-and-unpack (PAU) process which employs double exposures. First, dummy holes are added to the surroundings of isolated contact holes facilitating the patterning of the resultant dense pattern with a resolution enhancement technique that favors dense contact holes. For example, dense holes are packed to 180-nm pitch and imaged with high-NA lens setting and quadrupole illumination. Then, the second image is used to open the desired holes or block the dummy contact holes. The purpose of this study was to develop new methods and new materials for the patterning of the second image. Three approaches were investigated. The first approach was forming an isolation layer to protect the first image; second, applying UV curing to harden the first image; third, using alcohol-based resists to pattern the second image. Among those three approaches of printing the second image, using resist in alcohols is the most convenient method. Even though the CD control of the second image is not so critical, resolution and process window of resists may need further improvement for 45-nm node and below. Using the second approach allows conventional ArF resists, which does not raise as many concerns as the alcohol-based resists. With the first approach, a lot more work is needed to prevent intermixing and reactions between the isolation layer and the resist for the second image. The results of this work point to the directions for material developments of the PAU process. Both the alcohol-based resists and UV curing are good approaches for PAU. Further characterizations such as DOF, exposure latitude (EL), and mask error factor (MEF) on them will be carried out in the near future.
With an ever-increasing demand of stringent overlay control as a result of aggressive device scaling, lithographers are facing more challenges to maintain the inter-layer pattern registration accuracy. One of the critical factors is related to the integrity of monitor patterns (keys) when wafers undergo various process steps. It was reported that mis-reading of overlay registration could be induced by asymmetric film deposition on monitor keys. Lithographers go after more and more accurate controls on overlay performance as the overlay budget being constantly squeezed in face of ever increasing device density. Therefore, Fidelity between electric devices and registration inspection monitor key becomes one of concerned factors. Lots of papers addressed observations of overlay mis-reading induced by asymmetric film deposition on monitor key.
In this report, both new mechanisms and new solutions are revealed. The mechanism of metal film stress induced scaling errors was identified. Besides, different kinds of metallic film configurations were conducted and shown to reduce previous scaling errors dramatically. Furthermore, a variety of new overlay keys without film-stress dependency were designed to overcome this issue. Both approaches reduced the mis-reading from tremendous to slight shift.
This mis-alignment deviation is expected to be more severe during the migration from 200nm wafer to 300nm wafer as a result of a larger distance from wafer center to wafer edge. Those characterizations addressed in this report provide helpful information to the understanding of the overlay shift mechanism as well as solutions for better control.
As semiconductor technologies move toward 0.18um and below, it is difficult to get high pattern fidelity by 248-nm wavelength exposure. To reduce proximity effect, a lot of resolution enhancement technologies (RET) such as OPC, assistant feature, and double exposure technologies (DET) have been introduced. In this paper, random contact holes with low proximity effect were delivered by using 248-nm exposure tool in conjunction with double exposure technology. A low proximity resist patterns were formed by a well-designed Pack-mask. Then ion implantation treatment produced a solvent proof skin on the developed resist. The second lithography process was performed over the post-implanted resist layer. Resist coating as well as exposure perfectly transfer the patterns from Cover-mask. After etch, random holes with low proximity effect were easily achieved. In addition, higher energy association with higher dosages is able to maintain good critical dimension even if wafers went through three rework processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.