We demonstrate the application of 3D tomography by FIB-SEM to analyze channel holes in 3D-NAND. We automatically analyze the 3D channel profiles for size, shape, and placement from the reconstructed full 3D volume. As the data contains thousands of holes, and each hole is sampled with a resolution of a few nanometer in 3D, this method provides a vast amount of data. We analyze individual holes as well as a full population of holes, from a solid statistical basis. Such information is beneficial in monitoring and controlling the etch process of the HAR channel holes in 3DNAND fabrication.
Alternating aperture phase shift masks (AAPSM) continue to offer high contrast imaging for 65nm half-pitch using conventional 193nm illumination. The transition to high NA lithography systems including immersion lithography, and the ever-decreasing feature sizes have made the topography of the photomask a significant issue in the final resist image. Therefore, the influence of the alternating phase shift depth, the trench profile, and the critical dimension control through variable feature width must be considered and understood for optimized wafer imaging.
This paper will examine the impact on imaging based on three photomasks, each employing different quartz etch chemistries. The three methods used to define the well structures include two all dry and a partial wet etch approach. As the photomask features continue to decrease, slight changes in the quartz etched trench profile and depth can severely affect the wafer prints, as the effective 180 degree phase shift for imaging is not achieved. In this work we correlate the imaging performance through pitch to a systematic evaluation of the photomask topography using complementary photomask metrology techniques.
The actual depth and profile of the structures is obtained on a FEI Stylus nano-profilometer (SNP-XT) and from destructive cross sections. The CD linearity is measured on a top-down reticle CD SEM (KLA 8100XR). Based on photomask metrology data, rigorous electro-magnetic field (EMF) simulations of the various topographic profiles are performed. As a first printing performance estimate the photomasks are evaluated on a Zeiss AIMSfab193. Comparisons between the different evaluations will be made against wafer prints, obtained on an ASML PAS5500/1100 ArF scanner working with a 0.75NA projection lens.
This study will lead to an understanding of the impact of possible limitations of the current quartz etching processes on the imaging performance of alternating phase-shift masks for 65nm half-pitch.
The demands on photomask pattern transfer become tighter with every advancing technology node. Transferring patterns with feature sizes below 200nm threaten to limit lithography capabilities and prohibit the extension of current 248nm and 193nm lithography techniques. One demand that jeopardizes the current technology is the degradation of line resolution at the smaller features sizes. Transferring patterns smaller than the lithography wavelength can distort the image at the wafer. One of the resolution enhancement techniques (RET) for improving this performance and extending the lifetime of current lithography methodology is chromeless phase lithography (CPL).
In this work chromeless phase lithography masks have been etched using the Tetra II Photomask Etch System. Process development of the CPL etch process is discussed with emphasis on etch depth uniformity and CD profile. Effects of varying process parameters on etch performance are discussed for a typical low load patterned mask showing excellent etch uniformity range and reactive ion etch (RIE) lag. The requirements for uniformity range and RIE lag performance (both typically < 1%) require Z-depth precision on the order of the 0.25nm provided by the SNP. Non destructive CD profiling capability of the SNP is used to show the vertical sidewall etch performance. The ability to eliminate micro-trenching while maintaining excellent phase range and RIE lag is demonstrated. The capability of the Tetra II Photomask etch system to undercut the chrome hard mask during quartz etch is also demonstrated.
In order to meet stringent mask CD uniformity requirements, mask makers require a high precision CD metrology tool. According to the 2003 revision of the International Technology Roadmap for Semiconductors, there are no known solutions for sub-nanometer CD precision requirements. Furthermore, ITRS lists non-destructive, production worthy mask level microscopy for CD measurement for 3D structures as one of the five difficult challenges for 2009 and beyond. This paper focuses on the recent development successes of a scanning force based microscopy platform (Stylus NanoProfilometry, SNP). Innovative scanning strategies are discussed that enable high throughput, sub nanometer CD precision on advanced mask structures. Advancements in tip technology are also highlighted with metrology data presented on re-entrant alternating aperture phase shift mask features.
A NIST traceable phase1 shift standard has been designed, fabricated, and tested on three phase shift measurement tools using different wavelengths. By using the fundamentals of NIST traceable step height, quartz index, and the understanding of the illumination optics of the Lasertec phase metrology tool, a phase standard has been created which can be used to calibrate Lasertec phase metrology tools. The pattern that is used is compatible with the recommended best practices for calibrating and measuring step heights and phase on the Lasertec tools. The mask is made with multiple depths. The three mask depths allow for the mask to be calibrated to three NIST traceable depth heights. This was done using the FEI SNP XT depth metrology tool. Since the mask format is mask based (6x250 Cr on quartz), it can be easily used on mask manufacturing metrology systems. The depths are targeted at the 180-degree phase shift for 157nm, 193nm, and 248nm lithography. The mask can be used to set targets and check the linearity of the phase metrology tools. The patterns are compatible with AFM and Profilometer depth metrology tools as well as multiple Lasertec spot sizes and shearing distances. The quartz depths are fabricated using a wet quartz etch process. The wet etch minimizes the quartz roughness and removes that error source from the metrology. The pattern is also arrayed so that multiple sites can be used to confirm the metrology and the prime measurement site could be changed if there was a suspicion of pattern damage or contamination.
The ALTA 4300 system has been used to successfully write many advanced design layers previously only feasible with 50kV vector shaped beam tools. In order to further enlarge the application space of this high productivity an aerial image enhancement technique has been developed to deliver mask patterns that more closely match pattern data for corners and jogs. This image enhancement is done in real time in the ALTA system’s rasterizer by modifying the gray level mapping of pixels near the corner vertexes. SEM measurements of corner rounding with standard rasterization and the enhanced rasterization show an improvement of corner rounding radius from ~205 to ~132 nm. A direct comparison of SEM micrographs show no qualitative difference between vector scan mask features and those written with aerial image enhancement. This convincingly demonstrates that the ALTA 4300 system with the new image enhancement can write many layers requiring vector scan corner acuity.
As mask specifications continually tighten with the ever- present progression of Moore's law, mask manufacturing specifications have become increasingly difficult to achieve. Global process optimization from coast to etch is critical for achieving the required mask performance. As an Applied Materials company, Etec is in a unique position within the maskmaking industry to introduce mask manufacturing solutions that are optimized across a number of process steps. Working with the Applied Materials photomask etch team, Etec's laser mask-patterning group characterized and implemented an integrated process recipe for the deep UV, raster-scan, continuous-wave, laser mask- patterning ALTA 4000 system and the Applied Materials Tetra Photomask Etch System.
Shrinking design rules, optical proximity correction and advanced phase shifting techniques require new methods of photomask manufacturing. The Applied Materials Centura photomask etch chamber leverages Applied Materials' extensive etch experience to provide an innovative dry etch solution to the mask dry etch challenges for < 0.13 micrometers device generations. Repeatable, consistent, stable etch performance is critical for advanced mask manufacturing. An extended chamber matching and repeatability study for chrome etch found that stable chrome and photoresist etch rates (and therefore selectivities) are produced on the Applied Materials Centura photomask etch chamber. The etch responses are consistent mask to mask as well as chamber to chamber. Prior to the extended study, pumping efficiencies, RF source and bias calibrations and optical emission spectral responses were compared. Since the study was performed at several different sites, the metrology tools were calibrated using masks specifically designed for this purpose. The marathon testing illustrates the stable etch performance over time.
Endpoint measurement sensitivity requirements in photomask can make or break an etch. The exposed chrome on today's photomask can vary between 0.25 percent and approximately 50 percent. Although excessive overetch does not deleteriously impact the underlying quartz, accurate endpoint detection is essential for preserving the critical dimension (CD) and CD uniformity across the mask. In order to provide a strong endpoint solution for photomask etch, a systematic investigation of etches with varying chrome loads was conducted. Passive monitoring of the optical emission spectra does not impact or interfere with the etch process. Also this method does not need specified endpoint sites on the mask as interferometric methods and provides an integrated endpoint signal over the whole mask area independent of the chrome clearing pattern. Two strong candidate wavelengths for calling endpoint in chrome etch were identified. However, optical emission spectroscopy endpoint detection has two drawbacks, which have historically limited its applicability. Firstly, the exposed area may be too low and/or secondly, the etch rate may be too slow for detection. Both of these concerns have been addressed in this paper by varying the exposed area on the photomasks from 0.25 percent to 99 percent. Endpoint was easily detected even for the slowest possible etch rate and for low exposed area.
In this paper, we describe the studies conducted at Etec Systems, Inc., an Applied Materials company, using various resists from photoresist manufacturers. Etec undertook this investigation with the objective of creating 257-nm resist processes that would allow the continued use of precoated mask blanks from commercial suppliers. Successful delivery of this technology would allow the industry to adopt next-generation maskmaking systems without having to change current business practices, avoiding being forced to invest heavily in capital equipment, facilities, and the associated technology development programs necessary for local coating of mask blanks. The main criteria used to select an appropriate resist candidate were commercial availability, environmental stability, and across-plate critical dimension (CD) uniformity. The aging data provides an indication of shelf life-pre-exposure ranging from hours to months of delay. Methods for measuring the incoming plate quality are highlighted. The importance of a highly consistent, tightly controlled bake process-post-exposure bake (PEB) and post-apply bake (PAB)-is illustrated in detail. This paper also shows controlled CD structures below the wavelength: 257 nm. The authors describe the processing difficulties encountered while attempting to create a photomask manufacturing-capable process and the techniques used to address the difficulties. Bottom antireflective coatings, photobase, and chemical amplification are areas of focus, as are their resulting effects on the final photomask.
This paper discusses methods used for photoresist selection and etch processing for laser mask patterning tool characterization. A major requirement of a deep ultraviolet (DUV) resist is that is has a storage capability of more than 90 days. This means the material does not have to be coated on demand to deliver exceptional lithographic performance. Process difficulties in the development and implementation of an advanced DUV maskmaking solution and how they are being addressed is also described. The purpose of this paper is to provide a look at the resist, develop, and etch processes being developed at Etec Systems, Inc. for DUV maskmaking applications. Key topics are etch characterization and resist process optimization at 257nm associated with the migration to DUV from i-line manufacturing environments and turning from wafer to mask patterning applications. The paper also shows results of work being done to assess alternative resist chemistries in an attempt to maintain a precoated mask blank option for mask shop use. The paper points out issues to be considered when moving from diazoquinone (DNQ) chemistry to chemically amplified resists (CAR) processing in a mask manufacturing environment.
The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.