Open Access
12 August 2024 Study of the fabrication technology on tapered structure in quartz
Wu Liying, Liu Dan, Cheng Xiulan, Quan Xueling, Zhang Wenhao, Ma Ling
Author Affiliations +
Abstract

Zero-mode waveguides (ZMWs) are nanostructures that drastically reduce the effective optical observation volume beyond the diffraction limit, thereby permitting the use of higher concentrations of fluorescently tagged molecules for single-molecule studies. This work presents the fabrication technology of quartz plasma etching to create tapered sidewalls for the application in ZMWs, utilizing an inductively coupled plasma (ICP) reactive ion etching tool. This method involves a meticulously designed two-step etching process to achieve quartz cavities with a minimum sidewall angle of approximately 60 deg. Initially, the process involves altering the photoresist pattern from a vertical to a tapered form, facilitated by the use of CF4/O2/Ar plasma at elevated radio frequency power settings. Subsequently, the quartz material is etched utilizing CF4 based plasma, with the tapered photoresist serving as a mask. This innovative approach allows for the successful transference of the tapered photoresist structure onto the quartz material, culminating in the formation of uniform and symmetrical tapered quartz cavities. Most importantly, the surface roughness (Rq) of the tapered quartz, measured to be around 3 nm, is extremely low and meets the stringent requirements for optical devices.

1.

Introduction

The use of glass microstructures is prevalent across a wide array of devices, including micro-electro-mechanical system (MEMS),1,2 optical devices,3,4 and biochips.5,6 The array of glass substrates employed in these applications includes materials such as silica,7 borosilicate glass,8 Corning Pyrex 7740 glass,9 and quartz glass,10 known for their remarkable properties, such as superior light transmission, lower surface damping coefficients, and high biocompatibility.11,12 In addition, quartz glass is considered for its potential in optical component development, attributed to its unique properties, such as piezoelectricity, high insulation, hardness, and thermal stability.13,14

In recent years, zero-mode waveguides (ZMWs) devices have witnessed extensive applications across various disciplines. Accompanied by the expansion of non-classical optics and nanophotonics, ZMWs have catalyzed the exploration of real-time deoxyribonucleic acid (DNA) sequencing,1517 the mapping of protein–protein interactions,18,19 the decoding of message ribonucleic acid translation dynamics,20,21 the study of protein oligomerization,22 and the analysis of lipid membranes.2325 These nanoscopic apertures have further been applied directly in the analysis of single molecule dynamics in the living cell membranes.26 As a groundbreaking method in bioanalytical chemistry, ZMWs arrays offer accurate observation and instantaneous tracking of individual molecular chemical reactions,27 facilitating the study of molecular dynamics at high concentrations. In addition, ZMWs arrays can be coupled with optical probes, such as fluorescence quantification analysis,28 fluorescence resonance energy transfer,29 and fluorescence spectroscopy30 to concurrently assess a multitude of reactions or binding occurrences. In addition, ZMWs arrays have been innovated as multifunctional tools, for instance, by integrating optical and electrochemical functionalities into one discrete unit to create electrochemical ZMWs.31

The fabrication of ZMWs devices is a sophisticated process that primarily involves the formation of nanoaperture structures on metal films. These metal films are first layered onto transparent glass substrates, setting the stage for the nanoaperture structures to be complexly designed in the metal layers. In a study conducted in 2003, Levene et al. pioneered the use of ZMWs for detecting single molecules at higher concentrations.15 Following this work, a significant body of research has been dedicated to optimizing the design and higher processes of ZMWs devices.3235 Aiming to minimize the effect of background fluorescence and boost the sensitivity of detection, a team of researchers in Suzhou, China, specializing in biomedical engineering,36 introduced an innovative approach. They suggested the integration of a micro lens array (MLA) under ZMWs devices. This approach was designed to lessen fluorescence crosstalk by merging the micro lens array with the nanoaperture array, leveraging the optical traits of the micro lens to amplify signal intensity and narrow the spread of fluorescence signals. While there are multiple methods for fabricating optimal micro lens arrays,37 they identified a challenge: the ideal micro lens edges, characterized by their curvature, presented obstacles in managing the etching angle and were found to be incompatible with the ZMWs nanoaperture array production process. To facilitate the fabrication and improve the consistency of the lens surface, the researchers proposed a change to the perspective edges, transforming them from curved to straight lines. This adjusted MLAs structure, diverging from the traditional curved design, essentially resembles an array of conical cavities etched into quartz glass. Such a design necessitates the fabrication of smooth, conical cavities in quartz (depths of <3  μm) with a specific incline. According to their simulation results, the sidewall inclination angle (SWA) for the quartz conical cavities should be less than 65 deg.

In relevant works of literature, numerous approaches have been analyzed for patterning silica based materials with angled structures utilizing standard MEMS fabrication methods.3847 First, methods such as photolithography resist thermal melting or grayscale exposure were applied to establish the necessary angled photolithography resist patterns, which were then transferred onto the material through dry etching processes. The procedure for creating angled sidewalls in photoresist through thermal melting is closely associated with factors, such as the photoresist’s thickness, its melting temperature, and the duration of melting, which results in inconsistent repeatability for fabricating small-scale patterns. Therefore, this method has not been widely adopted for projects where the critical dimension (CD) is smaller than 5  μm. Additionally, even though the grayscale exposure process is theoretically possible, its broad application is hindered by the significantly increased costs and complexities involved in generating the requisite masks when compared to standard ones, thereby limiting its practical use.38 The digital grayscale maskless lithography technique is a recently developed and promising technique for fabrication of three dimensional micro-structures.39,40 A primary benefit of this exposure method is the elimination of expensive masks, allowing for the direct writing of patterns upon completion of the layout design. However, a significant drawback is the significant fabrication time it requires, as patterns must be written gradually. This limitation largely confines its application to scientific research. In seeking solutions to these hurdles, researchers have introduced advanced etching methods that utilize photolithography resist patterns with vertical sidewalls. This approach involves the use of a specialized chamber for isotropic etching.41 Such a strategy enables accurate control over the shape of silica by skillfully merging isotropic and anisotropic etching methods. Nonetheless, this method requires employing two different etching chambers, which significantly raises the costs compared to other etching methods.42 In the very large-scale integration circuits (VLSI), the method for tapered contacts and vias in silica for electrode interconnections is not particularly novel. However, there appears to be a gap in the literature regarding the fabrication of tapered structure in quartz for use in optical components. Specifically, with respect to the accuracy machining of optical devices, considering their light transmission efficiency, there are rigorous standards not solely for the fabrication morphology. Most importantly, the roughness of the fabrication surface of the quartz tapered structure must be limited to no more than 10 nm to avoid significant optical loss. Those requirements have indicated that while traditional MEMS processing methods are capable of producing quartz tapered structure, attaining a sidewall angle of less than 65 deg and meeting the specified roughness criteria through a single-step direct etching process, remains a challenge.

The study by Oehrlein et al. demonstrates that in the process of anisotropic etching, there exists a specific relationship between the mask angle prior to etching, denoted as θmask, and the final inclination angle of the etched material, denoted as θfilm, as illustrated in Fig. 1(a)43

Eq. (1)

SR=tanθfilm/tanθmask,
where the etch selectivity ratio (SR) is defined as the ratio of the film etching rate (ER) to the mask etching rate. The angle of inclination refers to the angle between the sidewall and the substrate surface. A smaller angle indicates a greater degree of sidewall incline. Based on Eq. (1), it is evident that the etching angle of the film is closely related to both the etch SR and the mask angle. Figure 1(b) illustrates the relationship between the etching material’s inclination angle and both the mask inclination angle and the etch SR. It can be observed from the figure that with an increase in the etch SR, a smaller mask angle (before etching) is required to achieve a film sidewall with a high degree of incline.

Fig. 1

(a) Schematic of etching angles. (b) Relationship between the mask angle before etching and the etched film angle under different etch selectivity ratios.

OE_63_8_084103_f001.png

This paper proposes a novel approach of two-step etching process by utilizing the ICP-RIE tool. The procedure involves fine-tuning the predominantly vertical photoresist mask, developed post-exposure, to procure an angled sidewall configuration by ICP-RIE, which is then translated onto quartz through ICP-RIE approach specific to quartz. This methodology stands out for its simplicity and repeatability. Further exploration in the paper evaluates how various factors in photolithography and dry etching parameters affect the etching performance, surface contour, surface roughness, as well as the SWA of the quartz tapered cavities. The study successfully yields smooth, conical sidewalls with SWAs near 60 deg on quartz. The insights derived from this research extend beyond quartz tapered cavity arrays for ZMWS devices, offering invaluable procedural references for fabricating a comprehensive range of quartz-based optical devices.

2.

Experiment

2.1.

Photolithography Experiment

In this study, we chose JGS1 quartz substrates, each with a 100 mm diameter and a 500  μm thickness, as our base material. To prepare these substrates, we utilized Merck’s AZ P4330 positive photoresist, achieving a uniform layer of 3.5  μm at 3000 rpm. The preparation involved cleansing the quartz substrates with deionized water and then drying them on a hot plate for 10 min to eliminate any surface moisture. A further cleaning step involved a 5-min treatment with an oxygen plasma cleaner. Following this, the AZ P4330 photoresist was evenly spread across the substrates utilizing a spin coater and then the substrates were baked for 2 min at 110°C. The process continued with photolithography utilizing a contact exposure system (SUSS, MA6) after which the designed conical cavity arrays were brought out utilizing a tetramethyl ammonium hydroxide (TMAH) developer solution. The final step in the preparation phase involved rinsing the samples with deionized water and drying them with a nitrogen gun.

2.2.

Etching Experiment

The core of our experiment focused on quartz etching, carried out with an ICP-RIE tool (SI 500, Sentech). Existing literature4447 offers extensive insights into silica etching through inductively coupled reactive ion beam methods, highlighting that the etching quality is influenced by a multitude of factors. Key parameters among these are the radio frequency (RF) power, ICP power, and the accurate mix and ratio of various reactive gases utilized in the process. Additional factors, such as the electrode temperature, chamber pressure, and the silica material’s specific composition, were also found to significantly affect etching results. To comprehensively assess the effect of these parameters on quartz morphology post-etching, we structured multiple sets of quartz etching experiments. Utilizing the insights gained, we optimized the photoresist etching process, employing a photoresist tapering as a masking agent to etch the quartz material utilizing the same etching equipment. Importantly, all etching steps were performed in a singular chamber environment to avoid exposure to external air, ensuring consistent and high-quality results.

2.3.

Characterization Testing

During the experimental process, we applied a variety of characterization methods to explore the geometric configurations of the fabricated tapered cavities in quartz material. STM7 Olympus microscope yielded two-dimensional visuals that offered preliminary insights into the shapes of these constructs. To measure the surface unevenness, we engaged an ICON Bruker Atomic Force Microscope (AFM), enabling accurate assessments of the topographic subtleties on the quartz surfaces. For an in-depth view of the cross-sectional profiles and to accurately determine the etching angles of the quartz tapered cavities, we utilized a dual-beam focused ion beam system (FIB, Auriga, Zeiss) to section the quartz etched tapered cavities across their diameter. The etching depth was determined with a surface profilometer (Profiler, P7, KLA-Tencor), affording a thorough analysis of the etching’s depth. Moreover, the integrity of the etched tapered cavities was assessed through a field emission scanning electron microscope (FESEM, Ultra Plus, Zeiss). To increase the conductivity for SEM imaging, all quartz samples were coated with a gold (Au) layer, approximately 30 nm in thickness.

3.

Results and Discussion

3.1.

Impact of Exposure and Development Time on Lithography Results

At photolithography exposure intervals of 10, 15, and 20 s, under optimal development conditions (without any residue and over-development), we were able to observe the photolithography’s effect at varying exposure durations. Table 1 encapsulates the average actual diameters relative to each designed aperture size under three photolithography scenarios. It was noted that the dimensions post-exposure and development exceeded the designed sizes. As the exposure time increases, the diameter of the developed cone array expanded. Specifically, at a 20 s exposure duration, the scattering effect of ultraviolet light sensitized the photoresist on the edges, resulting in a further enlargement in dimensions after development.

Table 1

Measured aperture diameters (average values) and angles of the photoresist tapered cavity arrays under different lithographic conditions.

Lithographic conditionsExposure time (s)Development time (s)Measured aperture diameter (μm)Photoresist SWA range (deg)
Design 4 (μm)Design 4.5 (μm)Design 5.2 (μm)
A10954.695.526.5080 to 78
B15654.875.636.9478 to 74
C20556.216.507.0071 to 69

To accurately evaluate the SWA of the photoresist, we sectioned the sample after lithography along its diameter utilizing the FIB. Figure 2 demonstrates cross-sectional SEM images that indicate the photoresist conical cavities on the quartz substrates developed under lithography conditions B and C. These cavities with a designed diameter of 4  μm illustrate the accuracy achievable. Table 1 summarizes the range of the final photoresist sidewall angles, reflecting different designed aperture sizes across three lithography scenarios, post-lithography, and development. It is evident that the parameters of the lithography process play a critical role in determining the sidewall angle of the photoresist. Specifically, extending the exposure period and shortening the development phase tend to decrease the sidewall angle of photoresist. However, adjustments in lithography parameters only marginally decrease the photoresist’s sidewall angle but lead to a significant and unpredictable expansion in the CD bias. Therefore, with both the sidewall angle and CD bias in consideration, we identified lithography condition B as the optimal initial procedure for our following quartz etching experiments. No obvious bottom aperture bias was observed for the sample developed by lithography condition B.

Fig. 2

SEM cross-sectional image of photoresist mask (PR) conical cavities with designed CD 4  μm on quartz substrate under different lithography conditions: (a) process parameter: exposure time = 15 s, developing time = 65 s and (b) process parameter: exposure time = 20 s, developing time = 55 s.

OE_63_8_084103_f002.png

3.2.

Effect of Etching Parameters on the Etching of Quartz

3.2.1.

Types of etching gases

The main component of quartz is SiO2. The etching of SiO2 typically uses gases containing fluorine (F) or carbon-fluorine (CF) in a process similar to reactive ion etching (RIE). This etching method is driven by a result of both chemical reactions and physical bombardment, enhancing the etching efficiency. During the plasma phase, fluorine-based gases engage with quartz amidst the ion glow discharge event. Fluorine gases break down, releasing fluorine ions F+, which then react with SiO2 to form gaseous SiF4. This byproduct is removed through a pump, effectively etching the quartz material. With gases that have a carbon-fluoride basis, the RIE process predominantly relies on CFx chemical groups. This involves the reflection of neutral particles and the breakdown of CFx to produce CFx+ (x=1,2,3). A high concentration of F atoms catalyzes the breakdown of CFx particles on the chamber’s interior surfaces, employing F+ and CFx ions to supply both the chemical agents and the bombardment energy needed for etching. Conversely, when F atom levels are low, CF2 concentration surges, leading to the creation of larger CxFy molecules that ionize into bigger CxFy+ ions, acting as polymer precursors. Therefore, in a carbon-fluoride plasma environment, despite CF2 and CF3 being reactive species, F atoms are the principal agents of etching. Polymers are crucial for creating a protective barrier on sidewall surfaces during etching, as they obstruct the interaction between the sidewall and etching gases, protecting against sidewall degradation and facilitating anisotropic etching.

Based on lithography condition B, we experimented with various fluorine-based gases (SF6, CF4, and CHF3), each combined with Ar gas, for their effectiveness in RIE processes.

First, the study explored the use of a fluorine-based gas mixture, specifically SF6 combined with Ar, for the purpose of reactive ion beam etching. This exploration involved a variety of flow rate combinations of these gases, in conjunction with varying levels of ICP and RF power. These experiments consistently produced etched quartz surfaces characterized by SEM with notably rough surfaces and sidewalls, in addition to significant etching defects, as illustrated in Fig. 3(a). To address the issue of surface roughness, an attempt was made to clean the samples utilizing sulfuric peroxide mixture (SPM) (a mix of concentrated sulfuric acid and hydrogen peroxide at a ratio of 4:1). However, it was determined that a 30 min SPM cleaning process did not improve the surface roughness. The rate of etching was quantified utilizing a profilometer, indicating an etch SR (photoresist to quartz) of approximately 2.2: 1, with the angle of the sidewalls measured at roughly 52.2 deg. Considering the negative effect of surface roughness on the performance of devices, this combination of etching gases was considered unsuitable for the fabrication of quartz ZWMs devices.

Fig. 3

SEM images of quartz etching with different types of etching gases: (a) cross-sectional SEM image of quartz etching, process parameter: SF6 and Ar gas combination, SF6 : Ar = 1:1, ICP = 600 W, and RF = 100 W, with the inset indicating an SEM top view. (b) Tilt view SEM image of quartz, where no etching occurred, and a polymer ultimately formed on the quartz, process parameter: CHF3 and Ar gas combination, CHF3: Ar = 1:1, ICP = 600 W, and RF = 100 W. (c) Cross-sectional SEM image of quartz, process parameter: CF4 and Ar combination, CF4 : Ar = 1:1, ICP = 600 W, and RF = 100 W.

OE_63_8_084103_f003.png

In light of the critical role of sidewall protection, our experimentation shifted toward the use of carbon-fluoride-based etching gases to facilitate the formation of organic compounds through carbon-fluoride plasma. First, a mixture of CHF3 and Ar was employed for reactive ion beam etching, experimenting with various settings of ICP power, RF power, and gas flow ratios, maintaining a controlled pressure at 0.7 Pa. Nevertheless, these trials consistently demonstrated that quartz etching was not achieved. Upon removal of the photoresist, it was evident that no actual etching had taken place. Instead, the etching process led to the deposition of carbon-fluoride polymers, resulting in the formation of by-product polymer deposits, as depicted in Fig. 3(b). It was further observed that these polymer cylindrical deposits established an exceptionally strong adherence to the quartz substrate, proving challenging to remove by standard organic ultrasonic cleaning or plasma cleaning methods.

The experiments indicated that utilizing a combination of CF4 and Ar yielded the most effective results for quartz etching. Figure 3(c) displays the successful creation of quartz conical cavity arrays under a total gas flow of 80 sccm, with a balanced flow ratio of 1:1, coupled with an ICP power setting of 600 W and RF power of 100 W. Adjusting the parameters of the etching process led to significant differences in the effects and angles of quartz etching. This paper will evaluate a detailed analysis of these findings.

3.2.2.

ICP power

Based the same lithography conditions (lithography condition B), we explored the effect of ICP power on the etching of quartz. The experiments indicate that adjustments in ICP power significantly affect the etching behavior of quartz. By ensuring the photoresist SWA remained constant, with a CF4 concentration set at 62.5% in a CF4/Ar blend (totaling an 80 sccm flow rate) and keeping other etching parameters steady (RF power held at 100 W), a notable observation was made. Figure 4 presents the measurement results on the effect of ICP power levels on the etching rate, surface roughness, and etch angle of quartz. Specifically, an increase in ICP power from 600 to 800 W led to a significant decrease in the quartz etching rate. This can be attributed to polymer deposition taking precedence, a scenario likely due to the surplus energy generated by the ICP glow discharge not translating into a proportional increase in active particle quantity. This imbalance prompted more frequent collisions among reactive ions, causing these ions, which were supposed to aggressively target the quartz surface, to lose significant energy. This energy loss reduced the intensity of physical particle bombardment on the quartz, thereby reducing the presence of F particles that were expected to engage in and accelerate the quartz etching process. Concurrently, there appears to have been an uptick in the polymerization reactions among large CF polymer particles, significantly slowing down the reaction rate.

Fig. 4

The effect of ICP power on the etching rate, roughness, and sidewall angle of conical cavities in quartz.

OE_63_8_084103_f004.png

When the power input to the ICP was lowered from 600 to 300 W, a significant increase in the texture roughness of the etched surface became evident. AFM indicated that this roughness was approximately twentyfold higher than that of unprocessed quartz, surface roughness Rq=42  nm. Meanwhile, the etched sidewalls exhibited significant roughness. A decrease in ICP power led to a modest reduction in the quartz etching rate, with the etching sidewall angle of the quartz leaning more toward the perpendicular. This result agrees with findings from a study by Lin et al.,42 which suggested that increased ICP power would tune the etching sidewall angle of fused silica glass from a perpendicular to a more slanted orientation. Table 2 presents a summary of the effect of ICP power on the etching rate, surface texture, and angle of quartz.

Table 2

The impact of ICP power on the etching rate, roughness, and angle of quartz etching.

ICP (W)Quartz etching rate (μm/min)Photoresist etching rate (μm/min)Rq (nm)Etching SWA (deg)Bottom CD bias (μm)
3000.1360.12242.592<0.1
4000.1350.1317.590<0.1
6000.1580.122.877<0.1
8000.010.01312.4NANA

3.2.3.

RF power

Further experimentation highlighted the significant role of RF power on the etching results, as illustrated in Fig. 5. By maintaining the CF4 concentration at 62.5% (in a CF4/Ar blend, with an overall flow rate of 80 sccm), holding ICP power steady at 600 W, and keeping other etching parameters constant, a reduction of RF power to 50 W markedly slowed the quartz etching rate, proving it impractical for the fabrication of quartz-based devices. An increase in RF power enhances the etching rate for both quartz and photoresist materials, with the initial roughness of the etched quartz surface increasing before reducing. Elevating the RF power to 200 W leads to the carbonization of the photoresist, which results in significantly rough sidewalls as depicted in Fig. 6, negatively impacting the functionality of the devices. However, a relatively smoother etched quartz surface was obtained, with an Rq of 4.6 nm. This might because the quartz surface in the cavity is directly exposed to the etching gas without the photoresist, so the carbonization phenomenon of the photoresist mentioned above does not affect the cavity surface. Table 3 outlines the effects of RF power on the quartz etching rate, surface texture, and SWA.

Fig. 5

The impact of different RF powers on the etching rate, roughness, and sidewall angle of conical cavities in quartz.

OE_63_8_084103_f005.png

Fig. 6

Etching of conical cavities in quartz at RF = 200 W.

OE_63_8_084103_f006.png

Table 3

The effects of RF power on the etching rate, roughness, and angle of quartz etching.

RF (W)Quartz etching rate (μm/min)Photoresist etching rate (μm/min)Rq (nm)Etching SWA (deg)Bottom CD bias (μm)
500.010.01\NA<0.1
1000.1580.122.877<0.1
1500.1890.161185<0.1
2000.2450.2464.680<0.1

3.2.4.

Gas flow ratio

Under the same lithography conditions (lithography condition B), we conducted a series of experiments on the effect of the CF4 to Ar gas flow ratio on the etching of quartz. By ensuring the photoresist SWA remained constant and standardizing other etching parameters (with ICP and RF powers set at 600 and 100 W, respectively), we adjusted the CF4 to Ar gas flow ratio to assess its effects on the quartz sidewall angle. The findings, illustrated in Fig. 7(a), demonstrate that at a consistent total gas flow of 80 sccm and a chamber pressure of 0.7 Pa, effects in the CF4 to Ar gas flow ratio significantly affect the sidewall angle in quartz. Specifically, we observed that: (1) increasing the CF4 gas content first led to a reduction in the quartz etching angle, which then slightly increases; (2) a rise in the CF4 gas content proportionately increased the etching rate of the photoresist, which then reached a plateau, whereas the quartz etching rate first fell before experiencing a minor rise. According to results from AFM testing, changes in the etching gas flow ratio marginally affected the etching roughness, indicating that the etched quartz maintained a relatively low roughness level, generally around Rq=3  nm.

Fig. 7

(a) The impact of the CF4 to Ar gas flow ratio on quartz etching. (b) SEM cross-sectional image of conical cavities in quartz with 50% CF4 in the CF4/Ar mixture. (c) SEM cross-sectional image of conical cavities in quartz with 62.5% CF4 in the CF4/Ar mixture.

OE_63_8_084103_f007.png

Figure 7(b) presents a cross-sectional SEM depiction of conical cavities in quartz at a total flow of 80 sccm and a CF4 content of 50%, where the angle of the quartz sidewalls appears more vertical. Increasing the CF4 content to 62.5% leads to the quartz etching angle being the most inclined (77 deg), as depicted in Fig. 7(c). However, further increases in CF4 gas flow do not decrease the etching angle. This is likely due to the etching gas reaching a saturation point with higher CF4 flows, resulting in an excess of ions from glow discharge, which in turn heightens the collision frequency of reactive ions. As a result, these ions, intended for bombarding the quartz surface, dissipate a significant amount of energy, reducing the physical effect of the bombardment on the quartz. Concurrently, with constant pressure, an increased CF4 flow enhances the velocity at which active substances are evacuated, leading to the premature removal of some reactive particles with the exhaust gases before they can interact with the quartz, thereby complicating further increases in the etching angle. Table 4 summarizes the effects of varying CF4 to Ar gas flow ratios on the etching of quartz.

Table 4

The effect of different CF4 to Ar gas flow ratios on quartz etching rate and sidewall angle.

CF4 percentage (CF4/Ar) (%)Quartz ER (μm/min)Photoresist ER (μm/min)Quartz SWA angle (deg)SR (quartz/PR)Bottom CD bias (μm)Rq (nm)
750.1720.123821.398<0.13.1
68.750.160.12801.333<0.13.2
62.50.1540.12771.283<0.13.1
500.1780.098861.816<0.13.4
37.50.180.08902.25<0.13.2

3.2.5.

Etching temperature

Except for the factors of power and gas previously discussed, etching temperature also significantly affects the etching results. Specifically, at lower temperatures, there appears to be a significant increase in surface roughness due to the hindered evaporation of etching by-products, which compromises the surface quality. On the flip side, higher temperatures might lead to the carbonization of photoresist, especially on materials characterized by poor thermal conductivity. Interestingly, while the etching pace for quartz demonstrates a temperature-dependent acceleration, the rate for photoresist stays more or less consistent. This phenomenon suggests that lowering the etching temperature could potentially enhance the etching process’s selectivity. Therefore, our experiments suggest that an ideal etching temperature for quartz conical cavities stands at 20°C.

3.2.6.

ICP-RIE process parameters for quartz etching

The use of a gas mixture containing CF4 and Ar is identified as the most effective for etching conical cavities in quartz, achieving optimal results when the CF4 to Ar gas flow ratio is adjusted to 5:3 (or a CF4 content of 62.5%). This accurate ratio leads to quartz cavity with highly inclined sidewalls, peaking at an angle of 77 deg. An increase of the ICP power to 800 W notably reduces the rate at which quartz is etched with polymer deposition emerging as the predominant phenomenon. Conversely, a reduction in ICP power is observed to significantly increase the surface roughness of the etched areas. The effect of RF power on the etching process is also significant with an increase in RF power not only boosting the etching rate but also initially increasing and then reducing the roughness of the etched quartz surfaces. At an RF power threshold of 200 W, carbonization of the photoresist is observed.

Therefore, the optimal parameters for etching quartz to fabricate ZMWs optical devices have been identified as a CF4 and Ar gas mixture with flow rates of 50 and 30 sccm, respectively, alongside RF and ICP powers set at 100 and 600 W, chamber pressure maintained at 0.7 Pa, and an etching temperature maintained at 20°C. Under these specified conditions, the quartz etching rate is recorded at 0.154  μm/min, the photoresist etching rate at 0.12  μm/min, the sidewall angle at 77 deg, and surface roughness at Rq=3.1  nm. Moreover, there is no obvious bottom CD bias (<0.1  μm) compared with an initial photolithographic CD. Our optimal quartz etching stage effectively balanced the by-product polymer redeposition and the etching gases, achieving the quartz sidewall protection against excessive CD bias. However, for some specific applications, such as ZMWs, small SWA (<77  deg) is required, it is difficult to achieve by relying solely on our optimal quartz etching process, so it is necessary to perform the photoresist tapering process.

3.3.

Photoresist Tapering Process

Equation (1) highlights the necessity for the mask angle (prior to etching) to be as minimal as possible to facilitate the creation of film sidewalls with a high degree of inclination. Building on this insight, our study extends into the photoresist tapering etching process (a two-step etching process).

3.3.1.

CF4 flow

In the process of fine-tuning the etching of the photoresist mask post-development, our experiments began by fixing the ICP power and RF power, with the chamber pressure maintained at 1.0 Pa and the temperature held steady at 20°C. The experiments utilized a balanced O2/Ar ratio of 1:1 (with an overall flow rate of 130 sccm) and explored how varying the CF4 gas flow influenced the etching results, as illustrated in Fig. 8. As the flow of CF4 gas was increased, a significant rise in the quartz etching rate was observed, climbing from an initial rate of 0.3  nm/s to a peak of 2.4  nm/s. This rise can be due to the increased presence of F ion groups in the chamber, which are known to play a critical role in the etching of quartz. Conversely, the etching rate of the photoresist exhibited an initial surge followed by a decline as the CF4 concentration was adjusted. The primary factors influencing the photoresist’s etching behavior are the high-activity oxygen atoms and the Ar ion groups. The introduction of CF4 brings active F ions into the mix, prompting a greater breakdown of oxygen molecules into high-activity oxygen atoms, therefore enhancing the photoresist’s etching speed until it reaches a peak. Beyond a certain CF4 concentration, however, the dilution effect comes into play, reducing the concentration of Ar ions and active oxygen atoms in the chamber. This reduction mitigates the physical and chemical effects on the photoresist, leading to a decrease in its etching rate as the CF4 flow is further increased. Considering the mild fluctuation in the photoresist etching rate compared to the more significant changes in quartz etching, the SR of photoresist etching over quartz displays a gradual decline. In the process of photoresist tapering, it is crucial to avoid over-etching quartz as it could compromise the integrity of the following quartz conical sidewall formations. Therefore, maximizing the etching SR of photoresist to quartz is desirable to ensure accuracy and effectiveness in the etching process.

Fig. 8

The impact of different CF4 concentrations on etching rate and etching selectivity.

OE_63_8_084103_f008.png

Figure 9(a) demonstrates the texture of Merck’s AZ P4330 positive photoresist after etching without CF4, displaying fluffy micro-masks on the photoresist’s edges, which could carry over into the quartz material etching stage, inducing unevenness on the quartz sidewalls as depicted in Fig. 9(b). This issue seems to vanish when CF4 is introduced, likely due to fluorine-based gases facilitating the breakdown and removal of etching remnants. As the CF4 concentration increases from 8% to 24%, there appears to be a significant shift in the etching angle of the photoresist from 60 deg to 80 deg, as illustrated in Figs. 9(c) and 9(d). This shift occurs as an excessive amount of CF4, which saturates the process gas atmosphere, leading to an abundance of ions generated by glow discharge, which in turn amplifies collisions among reactive molecules. As a result, these molecules, aimed at bombarding the etching surface, shed a significant amount of energy, reducing their bombardment effect. Concurrently, an increased flow of reactive gases speeds up the pumping of active compounds, causing some reactive molecules to be expelled with the exhaust before they can interact with the photoresist, complicating the formation of the etching angle.

Fig. 9

SEM cross-sectional images of photoresist and quartz conical cavities etched at different CF4 concentrations: (a) photoresist etched without CF4 addition and (b) quartz etched with it as a mask under optimized etching parameters, (c) photoresist etched with 8% CF4, and (d) photoresist etched with 24% CF4.

OE_63_8_084103_f009.png

The etching results suggest that incorporating a measured amount of CF4 enhances the etched surface’s quality. Nonetheless, an overly high concentration of CF4 might cause over-etching of the quartz material and an increase in the etching sidewall angle.

3.3.2.

RF power

With fixed parameters, such as chamber pressure, gas flow, and ICP power (500 W), the focus was on analyzing the effects of varying RF power levels on the etching process, particularly after the development phase of photoresist masks, as illustrated in Fig. 10. Observations from Fig. 10(a) indicate that a boost in RF power from 100 to 400 W notably increased the photoresist etching rate from 8.7 to 22  nm/s. Similarly, the etching rate for quartz demonstrated a steady climb from 0.9 to 2  nm/s, whereas the etching SR largely stayed uniform at approximately 10:1, with no significant differences. RF power plays a crucial role in creating a self-bias voltage on the lower electrode, which in turn affects the plasma to direct a force toward the substrate. Differences in the self-bias voltage change the plasma energy impacting the substrate, thereby influencing the etching performance. At reduced RF power settings, the plasma energy reduces, leading to a weakened physical etching process. Moreover, the reduced energy levels impair the ability to disrupt the chemical bonds of the targeted material through physical bombardment, resulting in less effective chemical etching. Therefore, both quartz and photoresist exhibit lower etching rates under these conditions.

Fig. 10

(a) and (b) Effects of different RF power levels on photoresist tapering etching.

OE_63_8_084103_f010.png

Figure 10(b) demonstrates that with an increase in RF power, the roughness of the etched photoresist surface first drops significantly, followed by a gradual uptick. This pattern is attributed to the minimal physical bombardment effect at low RF power levels, which hinders the evaporation of etching by-products. Therefore, these by-products accumulate on the etched surface, creating micro-masks and contributing to a rougher surface texture. Nevertheless, an excessively high RF power results in intense physical bombardment, slightly elevating the surface roughness. Additionally, it is observed that an increase in RF power leads to a linear decrease in the etching angle of the photoresist. During the photoresist tapering etching process, excessive surface roughness is undesirable as the micro-masks formed can negatively affect further etching processes.

In this research, we observed that when the RF power is increased to 400 W, there is a significant increase in the etching rates of both quartz and photoresist. Additionally, there is a decrease in the angle of the photoresist etching sidewalls. This combination results in a visible quartz etching ring on the surface, as depicted in Fig. 11. This phenomenon suggests that the etching rate of quartz and SR in the conical openings created by the photoresist varies under these specific conditions. Therefore, when selecting the RF bias power, consider not only the etching angle but also the surface quality post-etching.

Fig. 11

SEM image of photoresist etching at an RF power of 400 W.

OE_63_8_084103_f011.png

3.3.3.

ICP power

In the experiments, RF power was set at 300 W, and the only variable changed was the ICP power. This adjustment was produced to understand its effect on the etching tapering of the photoresist mask post-development, as illustrated in Fig. 12. According to Fig. 12(a), the etching rates of both quartz and photoresist appear relatively stable without significant difference in response to changes in ICP power. This stability is attributed to two aspects. On one hand, the increase in ICP power increases the number of active groups in the plasma and its density. This enhancement boosts the chemical etching mechanism in dry etching processes. Concurrently, an increase in ICP power leads to a reduction in the substrate bias voltage, which reduces the kinetic energy of the ions striking the substrate, as a result, it lessens the physical etching effect. Therefore, under the specified conditions of gas flow and chamber pressure, the etching rate and etching selectivity remain fairly unchanged with varying ICP power levels.

Fig. 12

The impact of ICP power on (a) DC bias and etching rate and (b) roughness and photoresist sidewall angle.

OE_63_8_084103_f012.png

Figure 12(b) indicates that an increase in ICP power results in a reduction of the angle of the photoresist sidewalls, whereas the surface roughness of the etched photoresist changes minimally. Figure 13 demonstrates the morphology of photoresist etching at different ICP power levels, indicating that as ICP power is increased, the angle of the photoresist sidewalls reduces. In addition, quartz etching rings become wider and more significant on the quartz surface as ICP power increases.

Fig. 13

SEM cross-section images of photoresist at different ICP powers: (a) ICP = 350 W, (b) ICP = 500 W, and (c) ICP = 650 W.

OE_63_8_084103_f013.png

The reduction in the angle of etching for the photoresist might be attributed to the increasing dominance of isotropic chemical etching as the power of the ICP is increased. The mechanisms behind the formation of quartz etching rings, influenced by changes in ICP and RF power, remain somewhat mysterious. Nonetheless, further etching experiments indicate that quartz etching rings with width <300  nm does not substantially affect the formation of final conical cavities in quartz.

3.4.

Two-Step Etching Process

From the experimental results above, to achieve the targeted sidewall angle while maintaining the quality of the etched quartz surface, the two-step etching process should be implemented. The following optimal etching conditions for the photoresist tapering have been identified: an ICP power setting of 500 W, RF power of 300 W, chamber pressure set to 1.0 Pa, an Ar to O2 ratio of 1:1, and a CF4 concentration of 8% in the gas mixture (with an overall flow rate of 154 sccm). Thereafter, utilizing the photoresist, which has been changed through the etching process as a mask, the quartz is etched by ICP-RIE with the optimal process parameter of Sec. 3.2. As demonstrated in Fig. 14, the morphology of the conical cavities on a quartz wafer, designed for an aperture of 4  μm (CD in the photolithography mask layout) on a 4-inch quartz wafer, is observed. These quartz conical cavities display uniformity and symmetry, with a sidewall angle of approximately 60 deg, an etching depth of 1.890  μm, and a surface roughness of merely approximately 3 nm, satisfying the specifications for ZMWs devices. However, it is important to acknowledge that the etched quartz conical cavities exhibit a bottom CD bias around 0.538  μm, which could be compensated for by making a corresponding reduction in the photolithography mask CD.

Fig. 14

(a) SEM cross-sectional image of etched quartz conical cavities and (b) SEM top view of etch quartz conical cavities array.

OE_63_8_084103_f014.png

Table 5 presents the measurements of quartz cavities, which were fabricated through the optimal process of photoresist tapering and quartz etching methods. We observe that the final bottom CD bias is influenced not solely by the wafer’s size but also by the pattern’s placement across the wafer. Specifically, the CD bias is more significant at the center of the wafer compared to its edges. This difference may be due to the thermal conductivity characteristics of the sample throughout the etching process. When the etching duration is extended under the same designed CD, an increase in the bottom CD bias is noted. Nonetheless, across different designed CDs, etching time does not exhibit a consistent relationship with bottom CD bias, potentially due to the etching’s loading effect. This effect leads to varied etching rates in tapered cavities of different CDs, thereby impacting the bottom CD bias. Moreover, the angle of quartz etching does not exhibit an evident relationship with either the wafer’s size or the pattern’s placement.

Table 5

Average measurements of quartz etched conical cavities based on optimal fabrication parameters.

CD design (μm)Etch time (min)Upper CD (μm)Bottom CD (μm)Etching depth (μm)Quartz ER (μm/s)Quartz SWA (deg)CDbottom bias (μm)
3.5 (T)106.5443.9471.770.177620.447
3.5 (T)126.6484.032.1190.177610.53
4 (T)126.8624.5861.9590.163600.586
2.5 (E)105.5742.7411.7400.174580.241
2.5 (C)106.5622.9891.7060.170580.489
3.1 (E)105.4763.4481.7820.178600.348
3.1 (C)106.2563.6731.7400.174600.573
4 (E)126.2484.3141.9450.162610.314
4 (H)126.8524.5341.9000.158600.534
4 (C)126.8744.5381.8900.158600.538

*In the table, “T” refers to the experimental square samples (5  cm×5  cm) cut from the 4-inch quartz test wafers used in the etching experiments. The terms “C (center),” “H (half radius),” and “E (edge)” in the table is related to the 4-inch quartz wafer. The measurement data for all areas of the experimental square samples are essentially consistent.

In essence, the bottom CD bias and quartz etching angle emerge from a complex correlation of various factors during the etching phase, complicating their underlying mechanisms. As such, for quartz devices that demand accurate CD specifications, it is crucial to fine-tune the photolithography CD and to take into account the sample’s size. The experiments conducted indicate that this methodology can maintain CD bias uniformity in a 5% to 10% margin for 4-inch wafer. These results show that our fabrication has concise structure, simple manufacturing, and high efficiency. It is very suitable to the production on a mass scale.

4.

Conclusion

Utilizing advanced industrial-grade ICP RIE technology, we optimized the process for etching conical cavities in quartz, achieving tapered sidewalls with minimal roughness. This method lays the groundwork for fabricating ZMWs devices and streamlines the creation of various quartz-based optical devices.

This approach integrates two plasma etching phases—photoresist tapering and quartz etching with sidewall polymerization—performed in the same chamber. This integration simplifies the procedure while ensuring consistent results. First, the photoresist is subject to etching in the ICP-RIE setup, forming a sloped mask. This mask then guides the accurate sculpting of quartz through a dry etching method. This quartz etching stage synergizes the photoresist’s tapered design with by-product redeposition, achieving the quartz sidewall protection against excessive CD bias.

In particular, employing a photoresist mask of approximately 3.5  μm in thickness, we fine-tuned the etching process with CF4/O2/Ar plasma followed by CF4/Ar plasma. This regimen yields quartz cavities characterized by a 60-deg taper angle and an extremely low etching roughness Rq of 3 nm. Although our results showed a bottom CD bias, which needs to be compensated for by the layout design of the lithography mask, the principles discussed here empower engineers to adapt and implement a quartz etching protocol for tapered sidewall angles utilizing any model of ICP-RIE equipment.

Code and Data Availability

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

This work was supported by the Shanghai Science and Technology Innovation Action Plan (Grant No. 22501100800), Key Project of National Nature Science Foundation (Grant No. 62335014), SJTU Pinghu Institute of Intelligent Optoelectronics Open Foundation (Grant No. 22H010102520), and Shanghai Jiaotong University of Decision Consulting Projects (Grant No. JCZXSJA2023-05).

References

1. 

D. A. Zeze et al., “Reactive ion etching of quartz and Pyrex for microelectronic applications,” J. Appl. Phys., 92 (7), 3624 –3629 https://doi.org/10.1063/1.1503167 JAPIAU 0021-8979 (2002). Google Scholar

2. 

M. Esashi et al., “High-rate directional deep dry etching for bulk silicon micromachining,” J. Micromech. Microeng., 5 (1), 5 –10 https://doi.org/10.1088/0960-1317/5/1/002 JMMIEZ 0960-1317 (1995). Google Scholar

3. 

K. Mohamed, M. M. Alkaisi and R. J. Blaikie, “Fabrication of three dimensional structures for an UV curable nanoimprint lithography mold using variable dose control with critical-energy electron beam exposure,” J. Vac. Sci. Technol. B, 25 (6), 2357 –2360 https://doi.org/10.1116/1.2794317 JVTBD9 1071-1023 (2007). Google Scholar

4. 

S. Wang et al., “Optimized condition for etching fused-silica phase gratings with inductively coupled plasma technology,” Appl. Opt., 44 (21), 4429 –4434 https://doi.org/10.1364/AO.44.004429 APOPAI 0003-6935 (2005). Google Scholar

5. 

T. Ujiie et al., “Fabrication of quartz microcapillary electrophoresis chips using plasma etching,” Jpn. J. Appl. Phys., 39 (6R), 3677 –3682 https://doi.org/10.1143/JJAP.39.3677 (2000). Google Scholar

6. 

R. Tathagata, Z. Haixin and R. M. Deirdre, “Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications,” J. Micromech. Microeng., 20 (9), 097002 https://doi.org/10.1088/0960-1317/20/9/097002 JMMIEZ 0960-1317 (2010). Google Scholar

7. 

J. Rabe et al., “Monolithic miniaturized quartz microbalance array and its application to chemical sensor systems for liquids,” IEEE Sens. J., 3 (4), 361 –368 https://doi.org/10.1109/JSEN.2003.815783 ISJEAZ 1530-437X (2003). Google Scholar

8. 

J. H. Park et al., “Deep dry etching of borosilicate glass using SF6 and SF6/Ar inductively coupled plasmas,” Microelecron. Eng., 82 (2), 119 –128 https://doi.org/10.1016/j.mee.2005.07.006 (2005). Google Scholar

9. 

S. J. Ok, C. Kim and D. F. Baldwin, “High density, high aspect ratio through-wafer electrical interconnect vias for MEMS packaging,” IEEE Trans. Adv. Packag., 26 (3), 302 –309 https://doi.org/10.1109/TADVP.2003.818060 (2003). Google Scholar

10. 

T. Abe and M. Esashi, “One-chip multichannel quartz crystal microbalance (QCM) fabricated by deep RIE,” Sens. Actuators A, 82 (1–3), 139 –143 https://doi.org/10.1016/S0924-4247(99)00330-1 (2000). Google Scholar

11. 

K. Lilienthal et al., “Fused silica ‘glass grass’: fabrication and utilization,” J. Micromech. Microeng., 20 (2), 025017 https://doi.org/10.1088/0960-1317/20/2/025017 JMMIEZ 0960-1317 (2010). Google Scholar

12. 

T. Akashi and Y. Yoshimura, “Deep reactive ion etching of borosilicate “glass using” an anodically bonded silicon wafer as an etching mask,” J. Micromech. Microeng., 16 (5), 1051 –1056 https://doi.org/10.1088/0960-1317/16/5/024 JMMIEZ 0960-1317 (2006). Google Scholar

13. 

Y. Morikawa et al., “A novel deep etching technology for Si and quartz materials,” Thin Solid Films, 515 (12), 4918 –4922 https://doi.org/10.1016/j.tsf.2006.10.100 THSFAP 0040-6090 (2007). Google Scholar

14. 

H. Zhu et al., “Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition,” J. Micromech. Microeng., 19 (6), 065013 https://doi.org/10.1088/0960-1317/19/6/065013 JMMIEZ 0960-1317 (2009). Google Scholar

15. 

M. J. Levene et al., “Zero-mode waveguides for single-molecule analysis at high concentrations,” Science, 299 (5607), 682 –686 https://doi.org/10.1126/science.1079700 SCIEAS 0036-8075 (2003). Google Scholar

16. 

J. Eid et al., “Real-time DNA sequencing from single polymerase molecules,” Science, 323 (5910), 133 –138 https://doi.org/10.1126/science.1162986 SCIEAS 0036-8075 (2009). Google Scholar

17. 

B.A. Flusberg et al, “Direct detection of DNA methylation during single-molecule, real-time sequencing,” Nat. Methods, 7 (6), 461 –465 https://doi.org/10.1038/nmeth.1459 1548-7091 (2010). Google Scholar

18. 

T. Miyake et al, “Real-time imaging of single-molecule fluorescence with a zero-mode waveguide for the analysis of protein–protein interaction,” Anal. Chem., 80 (15), 6018 –6022 https://doi.org/10.1021/ac800726g ANCHAM 0003-2700 (2008). Google Scholar

19. 

T. Sameshima et al, “Single-molecule study on the decay process of the football-shaped GroEL–GroES complex using zero-mode waveguides,” J. Biol. Chem., 285 (30), 23159 –23164 https://doi.org/10.1074/jbc.M110.122101 JBCHA3 0021-9258 (2010). Google Scholar

20. 

A. Petrov et al, “Dynamics of the translational machinery,” Curr. Opin. Struct. Biol., 21 (1), 137 –145 https://doi.org/10.1016/j.sbi.2010.11.007 COSBEF 0959-440X (2011). Google Scholar

21. 

S. Uemura et al, “Real-time tRNA transit on single translating ribosomes at codon resolution,” Nature, 464 (7291), 1012 –1017 https://doi.org/10.1038/nature08925 (2010). Google Scholar

22. 

K. T. Samiee et al, “Lambda-repressor oligomerization kinetics at high concentrations using fluorescence correlation spectroscopy in zero-mode waveguides,” Biophys. J., 88 (3), 2145 –2153 https://doi.org/10.1529/biophysj.104.052795 BIOJAU 0006-3495 (2005). Google Scholar

23. 

N. Kahya and P. Schwille, “Fluorescence correlation studies of lipid domains in model membranes (review),” Mol. Membr. Biol., 23 (1), 29 –39 https://doi.org/10.1080/09687860500489099 MMEBE7 0968-7688 (2006). Google Scholar

24. 

Y. Sako and T. Yanagida, “Single-molecule visualization in cell biology,” Nat. Rev. Mol. Cell Biol., Suppl SS1 –SS5 https://doi.org/10.1038/nrm1193 NCBIFN 1465-7392 (2003). Google Scholar

25. 

K. T. Samiee et al, “Zero mode waveguides for single-molecule spectroscopy on lipid membranes,” Biophys. J., 90 (9), 3288 –3299 https://doi.org/10.1529/biophysj.105.072819 BIOJAU 0006-3495 (2006). Google Scholar

26. 

J. B. Edel et al, “High spatial resolution observation of single-molecule dynamics in living cell membranes,” Biophys. J., 88 (6), L43 –L45 https://doi.org/10.1529/biophysj.105.061937 BIOJAU 0006-3495 (2005). Google Scholar

27. 

S. Patra et al., “Surface passivation of zero-mode waveguide nanostructures: benchmarking protocols and fluorescent labels,” Sci. Rep., 10 (1), 1 –10 https://doi.org/10.1038/s41598-020-61856-9 SRCEC3 2045-2322 (2020). Google Scholar

28. 

M. P. Goldschen-Ohm et al., “Observing single-molecule dynamics at millimolar concentrations,” Angew. Chem., 129 (9), 2439 –2442 https://doi.org/10.1002/anie.201612050 ANCEAD 0044-8249 (2017). Google Scholar

29. 

M. Baibakov et al., “Extending single-molecule Förster resonance energy transfer (FRET) range beyond 10 nanometers in zero-mode waveguides,” ACS Nano, 13 (7), 8469 –8480 https://doi.org/10.1021/acsnano.9b04378 ANCAC3 1936-0851 (2019). Google Scholar

30. 

X. Zambrana-Puyalto et al., “A hybrid metal-dielectric zero mode waveguide for enhanced single molecule detection,” Chem. Commun., 55 (65), 9725 –9728 https://doi.org/10.1039/C9CC04118D (2019). Google Scholar

31. 

K. Fu et al., “Single entity electrochemistry in nanopore electrode arrays: ion transport meets electron transfer in confined geometries,” Accounts Chem. Res., 53 (4), 719 –728 https://doi.org/10.1021/acs.accounts.9b00543 (2020). Google Scholar

32. 

H. Rigneault et al, “Enhancement of single-molecule fluorescence detection in subwavelength apertures,” Phys. Rev. Lett., 95 117401 https://doi.org/10.1103/PhysRevLett.95.117401 PRLTAO 0031-9007 (2005). Google Scholar

33. 

D. Gerard et al, “Nanoaperture-enhanced fluorescence: towards higher detection rates with plasmonic metals,” Phys. Rev. B, 77 045413 https://doi.org/10.1103/PhysRevB.77.045413 (2008). Google Scholar

34. 

M. Foquet et al, “Improved fabrication of zero-mode waveguides for single-molecule detection,” J. Appl. Phys., 103 034301 https://doi.org/10.1063/1.2831366 JAPIAU 0021-8979 (2008). Google Scholar

35. 

J. Wada et al, “Fabrication of zero-mode waveguide by ultraviolet nanoimprint lithography lift-off process,” Jpn. J. Appl. Phys., 50 06GK07 (2011). Google Scholar

36. 

B. W. Fu et al., “Zero-mode waveguide device with microlens for enhancing fluorescence signal intensity,” Opt. Precis. Eng., 29 (8), 1922 –1930 https://doi.org/10.37188/ope.20212908.1921 (2021). Google Scholar

37. 

L. Y. Wu et al., “Fabrication of microlens array and its application progresses in light field imaging,” Semicond. Optoelectron., 41 (5), 611 –617 https://doi.org/10.16818/j.issn1001-5868.2020.05.002 1560-8034 (2020). Google Scholar

38. 

L. K. White and D. Meyerhofer, “Tapered resist wall profiles by flood exposure,” J. Electrochem. Soc., 134 (12), 3125 https://doi.org/10.1149/1.2100354 JESOAN 0013-4651 (1987). Google Scholar

39. 

K. Totsu et al., “Fabrication of three-dimensional microstructure using maskless gray-scale lithography,” Sens. Actuators A, 130/131 387 –392 https://doi.org/10.1016/j.sna.2005.12.008 (2006). Google Scholar

40. 

A. Rammohan et al., “One-step maskless grayscale lithography for the fabrication of 3-dimensional structures in SU-8,” Sens. Actuators B, 153 125 –134 https://doi.org/10.1016/j.snb.2010.10.021 SABCEB 0925-4005 (2011). Google Scholar

41. 

J. KimJ. KimJ. Kim, “Interconnecting method for semiconductor device,” U.S. Patent 5,591,675 (1997).

42. 

J. A. Stinnett et al., “Oxide plasma etching process with a controlled wineglass shape,” U.S. Patent 6,355,557 (2002).

43. 

G. S. Oehrlein and Y. Kurogi, “Sidewall surface chemistry in directional etching processes,” Mater. Sci. Eng.: R: Rep., 24 (4), 153 –183 https://doi.org/10.1016/S0927-796X(98)00016-3 (1998). Google Scholar

44. 

L. C. Lin et al., “Investigation of fused silica glass etching using C4F8/Ar inductively coupled plasmas for through glass via (TGV) applications,” Microsyst. Technol., 22 119 –127 https://doi.org/10.1007/s00542-015-2449-z 0946-7076 (2016). Google Scholar

45. 

E. Metwalli and C. G. Pantano, “Reactive ion etching of glasses: composition dependence,” Nucl. Instrum. Meth. Phys. Res. B, 207 21 –27 https://doi.org/10.1016/S0168-583X(03)00517-2 NIMBEU 0168-583X (2003). Google Scholar

46. 

A. Goyal, V. Hood and S. Tadigadapa, “High-speed anisotropic etching of quartz using SF6/C4F8/Ar/O2 based chemistry in inductively coupled plasma reactive ion etching system,” Proc. SPIE, 6111 61110 https://doi.org/10.1117/12.657730 PSISDG 0277-786X (2006). Google Scholar

47. 

V. Bliznetsov et al., “MEMS industry-worth etching to fabricate tapered structures in SiO2,” J. Microelectromech. Syst., 26 (6), 1400 –1407 https://doi.org/10.1109/JMEMS.2017.2755046 JMIYET 1057-7157 (2017). Google Scholar

Biography

Wu Liying is currently an engineer in Center for Advanced Electronic Materials and Devices at Shanghai Jiao Tong University. She received her PhD in condensed matter physics from Xi’an Jiaotong University in 2011. Her research interests include photoelectric device, nano-devices fabrication, and advanced thin films deposition technology.

Liu Dan is an engineer at Shanghai Jiao Tong University. She received her BS and MS degrees in microelectronics from Huazhong University of Science and Technology in 2006 and 2008, respectively. Her current research interests include photoelectric devices, semiconductor devices, and micro-nano fabrication.

Cheng Xiulan is a professor at Shanghai Jiao Tong University. Her current research interests include advanced micro and nano processing technology, silicon based light detector and modulator, and photoelectronic device.

Quan Xueling is an senior engineer at Shanghai Jiao Tong University.

Zhang Wenhao is an assistant engineer at Shanghai Jiao Tong University.

Ma Ling is an engineer at Shanghai Jiao Tong University.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Wu Liying, Liu Dan, Cheng Xiulan, Quan Xueling, Zhang Wenhao, and Ma Ling "Study of the fabrication technology on tapered structure in quartz," Optical Engineering 63(8), 084103 (12 August 2024). https://doi.org/10.1117/1.OE.63.8.084103
Received: 2 April 2024; Accepted: 22 July 2024; Published: 12 August 2024
Advertisement
Advertisement
KEYWORDS
Etching

Quartz

Photoresist materials

Fabrication

Argon

Plasma

Scanning electron microscopy

Back to Top