PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A prescription for obtaining the three-dimensional irradiance of any periodic pattern is given and applied to obtaining the irradiance and the depth of focus (DOF) for lines-and-spaces patterns. As is well known, the DOF for such patterns can be made large by restricting the angular spread of the illuminating light. At a fixed k1, the so-called tip-to-tip distance between two opposing line-ends can be made smaller if the numerical aperture of the imaging optics is increased.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Yan Borodovsky, a retired Intel Senior Fellow, reflects on his years working at Intel during the tenure of Gordon Moore, who passed away on March 24, 2023.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography advancements require to resist layer thickness reduction, essential to cope with the low depth of focus (DOF) characteristic of high numerical aperture extreme ultraviolet lithography (HNA EUVL). However, such a requirement poses serious challenges in terms of resist process metrology and characterization, as patterns in thin resist suffer from low contrast, which may affect the performance of the edge detection algorithms used for image analysis, ultimately impacting metrology.
Aim
Investigate e-beam imaging using low landing energy (LE) settings as a possible way to address the thin resist film metrology issues.
Approach
A low-voltage aberration-corrected SEM developed at Carl Zeiss is to image three thin resist thicknesses and two different underlayers, at various LE and number of frames. All images are analyzed using MetroLER software, to extract the parameters of interest [mean critical dimension (CD), line width roughness (LWR), and linescan signal-to-noise ratio (SNR)] in a consistent way.
Results
The results indicate that mean CD and LWR are affected by the measurement conditions, as expected. Imaging through LE unravels two opposing regimes in the mean CD estimate, the first in which the mean CD increases due to charging and the second in which the mean CD decreases due to shrinkage. Additionally, the trend between LE and linescan SNR varies depending on the stack.
Conclusion
We demonstrated the ability of low-voltage aberration-corrected SEM to perform thin-resist metrology with good flexibility and acceptable performance. The LE proved to be an important knob for metrology of thin resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam induced charging can introduce complications during defect inspection and metrology applications. Caution needs to be taken when choosing scanning electron microscope (SEM) condition during recipe set up.
Aim
To identify a reliable key performance indicator (KPI) based on SEM imaging that does not require repeated exposure. This KPI needs to be strongly correlated to charging and easily quantified. It is expected that the KPI can help simplify the recipe creation flow and increase the recipe robustness.
Approach
Hypothesized surface charging either impedes or facilitates the probe beam scanning, which would result in shrinking or expending field-of-view, respectively.
Results
Tested the hypothesis on after-development-inspection wafers and observed image distortion being modulated by landing energy. Confirmed distortion, once presented, would increase with exposure repeats, which can be reasonably explained based on charging.
Conclusions
Image distortion can be used as a reliable KPI to quantify wafer charging. This will greatly simplify recipe creation and improve recipe robustness. Also, the neutral condition in turn benefits the imaging and minimizes distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet lithography has advanced microfabrication of semiconductor devices toward the sub-10-nm generation. In this situation, stochastic defects increase and hence process evaluation requires an entire wafer inspection at high speed. To satisfy this requirement, a large field of view (FoV) inspection with low-resolution enables us to inspect an entire wafer within an acceptable time because the throughput of e-beam inspection depends on imaging resolution. However, low-resolution images are difficult to inspect at high precision using conventional methods because of a smaller photographed defect size and worse signal-to-noise ratio. Moreover, deformation caused by the manufacturing process and larger distortion caused by large FoV result in false detections when we apply die-to-database (D2DB) inspection. To solve these issues, we propose trainable D2DB inspection, which predicts a pixel-value distribution of normal images from a corresponding design layout. The proposed method is robust to low-resolution images because it considers noise and acceptable deformation as variance of the learned distribution. In addition, by introducing a model to predict a misalignment between a design layout and inspection image, trainable D2DB becomes robust to image distortion. Experiments show that trainable D2DB can perform high-precision inspection on images with large noise and image distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Things are drastically changing in the field of metrology. The main reason for that is related to the daunting specification requirements for metrology imposed by high numerical aperture extreme ultraviolet lithography (high NA EUVL). We observe a variety of generation e-beam tools proliferating in imec unique ecosystem, from in-line transmission electron microscope (TEM) to voltage contrast (VC) overlay tools, from die to database (D2DB) large area scanning electron microscope (SEM) to high-voltage SEM, from artificial intelligence (AI)-based inspection tools to massive data acquisition e-beam system. We are facing a renaissance of e-beam metrology. We are going to describe the challenges as well as the latest evolutionary developments of e-beam metrology in the semiconductor industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Signal to noise ratio, Scanning electron microscopy, Line scan image sensors, Edge detection, Line width roughness, Line edge roughness, Simulations, Edge roughness, Metrology, Electron microscopes
Measuring and subtracting scanning electron microscope (SEM) noise from a biased measurement of roughness leads to an unbiased roughness measurement. This unbiasing procedure becomes harder as the noise in the image increases. For low image signal-to-noise ratio (SNR) (below about 2), unbiased roughness measurement becomes less reliable.
Aim
It is important to understand the mechanism for the sensitivity of unbiased roughness accuracy to linescan SNR to look for ways to improve unbiased roughness measurement for very noisy images.
Approach
Using a combination of mathematical analysis, simulations, and experimental data, the role of pixel size and pitch in the SNR sensitivity are explored.
Results
All evidence points to the correlation of edge detection noise to true edge position as the cause of the errors in unbiased roughness measurement for very noisy images. For small pitch patterns, changes in feature edge position caused by feature roughness will cause changes to the linescan slope, which in turn changes the sensitivity of edge detection to SEM image noise.
Conclusions
Smaller pixel sizes and larger feature sizes are less sensitive to the SNR effects described here. For any algorithm used to measure unbiased roughness, the impact of linescan SNR must be carefully assessed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Focus-exposure process window measurement and analysis is an essential function in lithography, but the current geometric approach suffers from several significant deficiencies.
Aim
By clearly identifying the problems with the geometric process window approach, a process window measurement and analysis method will be proposed to address these problems.
Approach
The probabilistic process window (PPW) proposed here takes metrology uncertainty into account and rigorously calculates the expected fraction of in-spec features based on settings for the best dose/focus and presumed random errors in dose and focus. Using the fraction of in-spec features thus calculated, a much more rigorous determination of the trade-off between exposure latitude and depth of focus (DOF) can be performed.
Results
The PPW approach is demonstrated on focus-exposure data generated from a standard extreme ultraviolet lithography process at three different pitches, showing the value of this method.
Conclusions
The PPW approach offers clear advantages in accuracy for both DOF determination and the best dose/focus determination. Consequently, its use is preferred both for process development applications and high-volume manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Semiconducting wafers, 3D image processing, Scanning electron microscopy, Education and training, Signal detection, Electron beams, Tolerancing, Image analysis, Target detection, Semiconductors
The shrinkage of circuit patterns for improvement of the semiconductor device performance has reduced the tolerances in production. To fit in the tolerances, technologies for improving the uniformity of three-dimensional (3D) shapes of circuit patterns inter- or intra- wafers has been developed. Then, we developed a method for quantifying variations in 3D shapes by critical-dimension scanning electron microscopy (CD-SEM), which can measure widths of circuit patterns with high sensitivity. Since variations in the SEM-image signal are caused by 3D-shape variations, in the method, multiple feature values representing the signal detect shape variations. To compare the effect of the variation in each feature value on the shape variation, the amount of variation in the feature values was normalized by local variations in a reference image. Evaluation on etched wafers showed that several features exhibited independent variation trends that were larger than the local fluctuation. Cross-sectional verification confirmed that one of the feature values correlated with the width at the middle height that cannot be seen in top-view, and variations of 0.24 nm can be detected. It is expected that adjusting processing conditions based on this variation trend will efficiently improve the uniformity of the 3D shape.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Defect detection, Education and training, Back end of line, Image restoration, Image classification, Data modeling, Scanning electron microscopy, Process modeling, Machine learning, Electron microscopes
We present an automated application for defect detection and classification from ZEISS multibeam scanning electron microscope (MultiSEM®) images, based on machine learning (ML) technology. We acquire MultiSEM images of a semiconductor wafer suited for process window characterization at the imec iN5 logic node and use a dedicated application to train ML models for defect detection and classification. We show the user flow for training and execution, and the resulting capture and nuisance rates. Due to straightforward parallelization, the application is designed for the large amounts of data generated rapidly by the MultiSEM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Computational lithography and resolution enhancement techniques
An ultra-fast image simulation algorithm is proposed. The new algorithm uses full fast-Fourier-transform (FFT) to calculate the aerial image intensity. The wavelength, 193 nm, was scaled to a number of powers of 2, through scaling the mask with a scaling factor derived from the discrete Fourier transform (FT) format. The mask can then be transformed to the diffraction spectrum in terms of spatial frequency using the FFT algorithm. Similarly, this mask diffraction spectrum can be inverse transformed to the aerial-image by using the inverse-FFT algorithm. The image is finally scaled back to the original image amplitude of the original wavelength and squared to the image intensity. Comparing to the original FT, there is a 4000 × to 5000 × computation speed improvement with only about 3% intensity deviation. This algorithm provides an efficient engine for lithography optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Global Positioning System, Data modeling, Education and training, Feature extraction, Modeling, Simulation of CCA and DLA aggregates, Back end of line, Simulations, Machine learning, Design and modelling
Line-end-pull-back (LEPB) is a well-known systematic defect in BEOL metal layers, where a line-end (LE) tip is pulled back from its desired location due to lithography (litho) process effects. Severe LEPB directly affects BEOL connectivity and may lead to partial or total metal-via disconnection.
Aim
LEPB can be characterized through model-based litho simulations but at the cost of high computational resource consumption. This study aims to provide a fast and accurate approximation of computationally expensive litho simulations through regression-based machine learning (ML) modeling.
Approach
LEPB modeling is approached through the LightGBM model. Input features were approached using density pixels, density concentric circle area sampling (CCAS), and geometrical positioning surveying (GPS), which is an edge-based engine that provides a direct one-to-one mapping between model features and geometrical measurements between the LE as a point-of-interest and its surrounding contextual patterns. The importance of LightGBM features by splits was employed to reduce features across the used approaches.
Results
The reduced features of GPS produced almost the same modeling quality (training: RMS = 0.571 nm, δEWD = 0.297 nm, and R2 % = 98.74 % , and testing: RMS = 0.643 nm, δEWD = 0.344 nm, and R2 % = 98.40 % ) with −22.22 % fewer number of features and less feature extraction runtime compared to the full features set of density pixels and density CCAS approaches.
Conclusions
Compared to model-based litho simulations, the obtained calibrated ML models can be used to provide fast, yet accurate predictions of the amounts of pull-back or extensions introduced at LEs near vias, eliminating a major contributor to systematic IC yield loss.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Precise, accurate, and fast overlay (OV) metrology is an important step in semiconductor device manufacturing. With the increasing demand for better OV over a larger range of different process layers, the optics used in OV metrology tools become more complex, bulky, and expensive. OV, which is to be measured with sub-nanometer precision, is susceptible to many small imperfections in the measurement system.
Aim
We present a dark-field digital holographic microscope (DHM) that measures the complex field of the OV targets using simple optics, followed by computational algorithms to correct for hardware imperfections. With the setup, we aim to correct the effects of the absolute intensity of the illumination beam as well as the spatial profile.
Approach
The spatial profiles of two oblique illumination beams for diffraction based OV metrology are calibrated using large gratings as calibration targets using DHM, and thereafter OV target images are corrected by the calibrated illumination spot profiles.
Results
OVs are calculated for test targets with known OV values, and illumination spot correction removes errors originating from intensity imbalance and intensity variation.
Conclusion
We present an optical OV measurement method that is more robust against non-uniform illumination beams using simple calibration steps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask 3D (M3D) effects distort diffraction amplitudes from extreme ultraviolet masks. In our previous work, we developed a convolutional neural network (CNN) that very quickly predicted the distorted diffraction amplitudes from input mask patterns. The mask patterns were restricted to Manhattan patterns.
Aim
We verify the potentials and the limitations of CNN using imec 3 nm node (iN3) mask patterns.
Approach
We apply the same CNN architecture in the previous work to mask patterns, which mimic iN3 logic metal or via layers. In addition, to study more general mask patterns, we apply the architecture to iN3 metal/via patterns with optical proximity correction (OPC) and curvilinear via patterns. In total, we train five different CNNs: metal patterns w/wo OPC, via patterns w/wo OPC, and curvilinear via patterns. After the training, we validate each CNN using validation data with the above five different characteristics.
Results
When we use the training and validation data with the same characteristics, the validation loss becomes very small. Our CNN architecture is flexible enough to be applied to iN3 metal and via layers. The architecture has the capability to recognize curvilinear mask patterns. On the other hand, using the training and validation data with different characteristics will lead to large validation loss. The selection of training data is very important for obtaining high accuracy. We examine the impact of M3D effects on iN3 metal layers. A large difference is observed in the tip to tip (T2T) critical dimension calculated by the thin mask model and thick mask model. This is due to the mask shadowing effect at T2T slits.
Conclusions
The selection of training data is very important for obtaining high accuracy. Our test results suggest that layer specific CNN could be constructed, but further development of CNN architecture could be required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the ways to push down resolution limits in extreme ultraviolet (EUV) lithography is to use alternative masks. New types of absorbers are being broadly investigated for current 0.33 NA as well as for high NA of 0.55.
Aim
We study the imaging performance of a low-n/low-k absorber (ABS) dark field (DF) mask for lines and spaces (LS) printing at 0.33 NA.
Approach
Experimentally and via simulations, we investigate the use of low-n DF masks for a metal direct print application and compare low-n mask performance with Ta-based ABS masks.
Results
Compared to a Ta-based ABS mask used nowadays, the low-n DF mask brings contrast gain for dense LS. Also, for low-n masks, we observe large best focus (BF) shifts for isolated features with respect to dense features and change of bias. Hence, strong mask critical dimensions (CD) control is needed. We demonstrate how adding assist features can align BF through pitch. In addition, placing subresolution assist features (SRAFs) significantly enhances exposure latitude for semi/iso and iso-LS and improves the overlapping process window for selected cases.
Conclusions
These investigations show that low-n DF masks can enhance the imaging of LS through pitch if SRAFs are used and a good mask CD control is achieved. Our work further adds fundamental understanding to advantages observed for a metal direct print application of alternative ABS masks for the current and future EUV tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Low-n masks have gained strong interest due to their potential to simultaneously improve dose and imaging contrast for dense clips. We have previously presented that for the imaging of isolated features mask bias, assist features are crucial to minimize the focus range through pitch. In this paper, we elaborate on aberration sensitivity for different mask-absorber types. We observe that even aberration sensitivities can change significantly by changing the mask-absorber type for the same use case. We show that even aberration sensitivity and best-focus shifts are coupled and that they can also be solved together by applying mask and target bias and/or assist features. Finally, we show how assist-feature position optimization can reduce the impact of odd aberrations on two-bar features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A plausible approach for mitigating the mask 3-D (M3D) effects observed in extreme ultraviolet (EUV) lithography is to replace the existing mask absorber with alternative materials. Absorbers with a high EUV extinction coefficient k allow for lower best focus variation (BFV) through pitch and reduced telecentricity errors (TCEs).
Aim
We aim to evaluate Ta-Co alloys as potential high-k mask absorbers from material suitability and imaging standpoints.
Approach
We study the film morphology, surface composition, and stability of Ta-Co alloys in mask cleaning solutions and a hydrogen environment as present in the EUV scanner to assess the material suitability from an experimental aspect. Optical constants for three selected compositions, viz., TaCo, Ta2Co, and TaCo3, were determined from EUV angle-dependent reflectivity measurements. Next, utilizing rigorous simulation software, the imaging performance of Ta-Co alloys is evaluated and compared with the reference absorber. The recommended absorber thickness for Ta-Co alloy absorbers is based upon normalized image log slope (NILS) enhancement, threshold to size, and balancing of diffraction order amplitudes. A 10 nm line and space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm are used for the simulation study using high numerical aperture 0.55 EUV lithography process settings. The primary imaging metrics for through pitch evaluation include NILS, TCE, and BFV.
Results
The Ta-Co alloys exhibit a higher EUV extinction coefficient k compared with the currently used Ta-based absorber. TaCo and Ta2Co demonstrate smooth surfaces and are stable in a hydrogen environment and in mask-cleaning solutions.
Conclusion
Ta-Co alloys allow for a reduction in M3D effects at a lower absorber thickness compared with a 60 nm Ta-based reference absorber.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Formulation optimization plays an important role in the research and development of chemically amplified resist (CAR). However, the CAR profile after development process is influenced by multiple resist parameters and process conditions, so it is hard to determine the optimal CAR formulation in the multivariate problem. An optimization method for the CAR formulation is developed. The simple random sampling is applied to each CAR parameter’s value range independently, and the combinations of these samples from different parameters are used in the simulation of lithography profiles. Kernel density estimation is applied to analyze the simulation results. Then the CAR formulation is optimized based on the probability density distribution from the analysis results. The verification results show that the proposed optimization method can greatly improve the stability of the CAR formulation and thus generating acceptable critical features’ sizes of the CAR profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.