Journal of Micro/Nanopatterning, Materials, and Metrology

Editor-in-Chief: Harry Levinson, HJL Lithography, USA

The Journal of Micro/Nanopatterning, Materials, and Metrology (JM 3) publishes peer-reviewed papers on the core enabling technologies that address the patterning needs of the electronics industry. Formerly the Journal of Micro/Nanolithography, MEMS, and MOEMS, the journal’s key subject areas include the science, development, and practice of lithographic, computational, etch, and integration technologies. In this context the electronics industry includes but is not limited to integrated circuits and multichip modules, and advanced packaging with features in the submicron regime.

On the cover: The figure is from the Gold Open Access paper "Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high numerical aperture extreme ultraviolet lithography" by Mathias Tomandl et al. in the Speical Section on Curvilinear Masks , Part 1, guest-edited by Linyong (Leo) Pang and Danping Peng.

Calls for Papers
How to Submit a Manuscript

Regular papers: Submissions of regular papers are always welcome.

Special section papers: Open calls for papers are listed below. A cover letter indicating that the submission is intended for a particular special section should be included with the paper.

To submit a paper, please prepare the manuscript according to the journal guidelines and use the online submission systemLeaving site. All papers will be peer‐reviewed in accordance with the journal's established policies and procedures. Authors have the choice to publish with open access

EUV Mask Making
Publication Date
October-December 2024
Submission Deadline
1 June 2024
Guest Editors

IBM
Albany, New York, USA
Jed.Rankin@ibm.com

Intel
Santa Clara, California, USA
frank.e.abboud@intel.com

Call for papers

Although EUV masks have been available for engineering development for many years, countless inventions and innovations have been required to make “HVM EUV masks” at the quality and scale necessary to enable EUVL lithography, thus driving the whole semiconductor industry to the sub-5-nm regime. Lithographic patterning using EUV light at 13.5 nm has enabled the industry to forge a new path in which finer resolution geometries can be patterned more quickly and more efficiently on wafer, enabling a new wave of complex chip designs supporting the new era of artificial intelligence computing.

Innovations spanning the mask industry and tireless engineering have matured EUV mask making from concept and feasibility to a full HVM production quality over the past two to three decades. In this special section, we will explore current and future EUV mask-making challenges, solutions, and opportunities. As many of these topics have been addressed in recent years, this special section will provide a review of key technical topics and solutions. This is therefore a unique opportunity to share ongoing and recent experimental work to enable the new EUV patterning paradigm. Potential topics include but are not limited to:

  • EUV blank manufacture, inspection, and qualification for current and future HiNa EUV masks
  • EUV mask pellicle technology, including novel mounting and membrane related technology, CNT films, stabilization techniques, and characterization.
  • Key innovations in EUV masks, resists, and deposition of key materials
  • E-beam writer advancements
  • Reticle inspection solutions in the mask shop and the fab, with and without pellicles
  • EUV mask cleaning and repair techniques.
  • Repair verification methodologies and equipment
  • Key metrology systems focused on EUV masks
  • Software in of support data manipulations and corrections in OPC and the mask fabrication process
  • Physical handling challenges of EUV masks at the mask shop, the wafer fab, and in the scanner.

All papers will undergo the standard peer-review process for the Journal of Micro/Nanopatterning, Materials, and Metrology (JM 3). Manuscripts should be submitted to SPIE according to the journal guidelines at http://spie.org/jm3. A cover letter indicating that the submission is intended for this special section should be included. For more information, please contact the guest editor or jm3@spie.org.

EUV Mask Making
High Numerical Aperture Exposure Tools and Lithography
Publication Date
January-March 2025
Submission Deadline
1 June 2024
Special Section Editors

ASML
The Netherlands
jo.finders@asml.com

EUV Litho, Inc.
United States
vivek.bakshi@euvlitho.com

Call for papers

High numerical aperture (NA) scanners allow continued extension of Moore’s law at 13.5 nm wavelength and come with various technical and economic challenges. In this special section, we explore the current and future challenges of high NA scanners at 2 nm node and beyond. As many of these topics have been addressed in some form over the past several years, in this special section we will bring a review of key technical topics. At this moment, the integration of the 0.55 NA system is in full progress. Therefore, this is also a unique opportunity to share early experimental work. Potential topics include but are not limited to:

  • High NA tool overview including light source
  • High NA optics, manufacturing challenges
  • Anamorph imaging in high NA lithography, stitching
  • Computational imaging aspects of high NA imaging
  • Overlay optimization using half field exposure tool
  • High NA resists – managing stochastics, high resolution, and low thickness.
  • High NA patterning challenges and double patterning
  • High NA metrology challenges
  • High NA mask writing, mask choices, and new materials
  • Metrology aspects of high NA imaging.

All papers will undergo the standard peer-review process for the Journal of Micro/Nanopatterning, Materials, and Metrology (JM3). Manuscripts should be submitted to SPIE according to the journal guidelines at http://spie.org/jm3. A cover letter indicating that the submission is intended for this special section should be included. For more information, please contact the guest editor or jm3@spie.org.

High NA Exposure Tool and Lithography
Metrology for EUV
Publication Date
October-December 2024
Submission Deadline
1 May 2024
Special Section Editors
Patrick Naulleau

EUV Tech
Martinez, California, USA
pnaulleau@euvtech.com

Gregg Gallatin

Applied Math Solutions
Newtown, Connecticut, USA
gregg@appliedmathsolutions.com

Call for papers

As the adoption of extreme ultraviolet (EUV) lithography in high volume manufacturing continues to expand and EUV nodes continue to shrink, the application of at-wavelength metrology, inspection, and characterization is becoming increasingly critical. Several factors drive the importance of at-wavelength metrology techniques in the EUV regime, but first and foremost on the list is the fact that EUV lithography relies on the use of highly specialized Bragg coatings (multilayers). Such structures are highly chromatic by design and can only be fully characterized at the design wavelength. This fact is particularly critical in all applications related to metrology, inspection, and characterization of the mask.

Another important characteristic of EUV light is that at a photon energy of 92 eV, EUV photons are significantly more energetic than typical binding energies. The relevance of this is that EUV-induced radiation chemistry can differ significantly compared to deep UV and/or electron-induced chemistry. This is important both from the radiation damage perspective as well as from the EUV resist chemistry perspective. Understanding these effects requires the use of at-wavelength characterization tools.

Finally, from the perspective of wafer metrology, utilizing the shorter wavelengths in the EUV and soft-x-ray regime provides important resolution margin compared to DUV metrology solutions. Moreover, the chemical sensitivity in this wavelength regime provides a mechanism to measure molecular states of buried interfaces which opens up new possibilities in device characterization.

This JM3 special section will highlight challenges and solutions unique to EUV and soft-x-ray metrology, inspection, and characterization. Please submit a technology review, consolidation of existing learning, or original technical paper related to this field. Potential topics could include but are not limited to:

  • EUV mask inspection (patterned and blank)
  • EUV mask defect review
  • EUV/soft-x-ray metrology light sources
  • EUV mask and patterning materials characterization
  • EUV/soft-x-ray wafer metrology
  • EUV radiometry

All papers will undergo the standard peer-review process for the Journal of Micro/Nanopatterning, Materials, and Metrology (JM3). Manuscripts should be submitted to SPIE according to the journal guidelines at http://spie.org/jm3. A cover letter indicating that the submission is intended for this special section should be included. For more information, please contact the guest editor or jm3@spie.org.

Metrology for EUV
Published Special Sections

Patterning for Advanced Packaging (January-March 2024)
Guest Editors: Ken-ichiro Mori and Moshe Preil

Curvilinear Masks (January-March 2024)
Guest Editors: Linyong (Leo) Pang and Danping Peng

Plasma Modeling and Feature Profile Simulation (October-December 2023)
Guest Editors: Catherine B. Labelle and Mark J. Kushner

Control of Integrated Circuit Patterning Variance, Part 5: Pattern Placement, Critical Dimension, and Edge-to-Edge Overlay (October-December 2023)
Guest Editor: Alexander Starikov

Direct Write Lithography (October-December 2023)
Guest Editors: Stephen Renwick and Laurent Pain

3D Semiconductor Metrology (July-September 2023)
Guest Editors: Ndubuisi George Orji and Qinghuang Lin

Advances in E-Beam Metrology (April-June 2023)
Guest Editors: Gian Francesco Lorusso and Chris A. Mack

Manufacturing Data Analytics (October-December 2022)
Guest Editors: Bertrand Le-Gratiet and Serap Savari

Non-Chemically Amplified Resists for EUV Lithography (October-December 2022)
Guest Editors: Anuja De Silva and Yasin Ekinci

Next Generation Light Source, Materials, and Metrology/Inspection Equipment 
(April-June 2022)
Guest Editors: Erik R. Hosler and Brennan Peterson

Novel Patterning Technologies II
(January-March 2022)
Guest Editors: Doug Resnick and Eric Panning

Deep Learning for Lithography and Photomask Applications (October-December 2021)
Guest Editor: Leo Pang

Masks and Lithography in the Era of Multi-beam Mask Writers (October-December 2021)
Guest Editors: Alan Brodie and Martha Sanchez

EUV Masks (three-part series, October-December 2020, April-June 2021, July-September 2021)
Guest Editors: Martin Burkhardt and Vicky Philipsen

Control of Integrated Circuit Patterning Variance, Part 4: Placement and Critical Dimension, Edge to Edge Overlay (April-June 2019)
Guest Editor: Alexander Starikov

Challenges and Approaches to EUV-Based Patterning for High-Volume Manufacturing Applications (January-March 2019)
Guest Editors: Sebastian Engelmann, Rich Wise, Roel Gronheid, and Nelson Felix

Control of Integrated Circuit Patterning Variance, Part 3: Pattern Roughness, Local Uniformity, and Stochastic Defects (October-December 2018)
Guest Editors: John C. Robinson, Tim Brunner, Gian Lorusso

Novel Patterning Technologies (July-September 2018)
Guest Editors: Eric Panning and Martha Sanchez

EUV Lithography for the 3-nm Node and Beyond (October-December 2017)
Guest Editors: Vivek Bakshi, Hakaru Mizoguchi, Ted Liang, Andrew Grenville, and Jos Benschop

Alternative Lithographic Technologies V (July-September 2016)
Guest Editors: Chris Bencher and Ricardo Ruiz

Control of Integrated Circuit Patterning Variance, Part 2: Image Placement, Device Overlay, and Critical Dimension (April-June 2016)
Guest Editor: Alexander Starikov

Photomask Manufacturing Technology (April-June 2016)
Guest Editors: Masato Shibuya, Morihisa Hoga, and Kiwamu Takehisa

Extending VLSI and Alternative Technology with Optical and Complementary Lithography (April-June 2016)
Guest Editors: Kafai Lai and Andreas Erdmann

On the Interface of Holography and MEMS (October-December 2015)
Guest Editors: Partha Banerjee, Pierre-Alexandre Blanche, Christophe Moser, and Myung K. Kim

Alternative Lithographic Technologies IV (July-September 2015)
Guest Editors: Douglas J. Resnick, Ricardo Ruiz, and Hans Loeschner

Control of Integrated Circuit Patterning Variance Part 1: Metrology, Process Monitoring, and Control of Critical Dimension (April-June 2015)
Guest Editors: Alexander Starikov and Matthew Sendelbach

Continuation of Scaling with Optical and Complementary Lithography (January-March 2015)
Guest Editors: Kafai Lai and Andreas Erdmann

Holistic/Hybrid Metrology (October-December 2014)
Guest Editors: Alok Vaid and Eric Solecky

Alternative Lithographic Technologies III (July-September 2014)
Guest Editors: Douglas J. Resnick, Christopher Bencher, and Ricardo Ruiz

Metrology and Inspection for 3-D Integrated Circuits and Interconnects (January-March 2014)
Guest Editors: Yi-sha Ku and Alexander Starikov

Emerging MOEMS Technology and Applications (January-March 2014)
Guest Editors: M. Edward Motamedi, Joel Kubby, Patrick Ian Oden, and Wibool Piyawattanametha

Optical Lithography Extension Beyond the 14-nm Node (January-March 2014)
Guest Editors: Will Conley and Kafai Lai

Advanced Fabrication of MEMS and Photonic Devices (October-December 2013)
Guest Editors: Georg von Freymann, Mary Ann Maher, and Thomas J. Suleski

Advanced Plasma-Etch Technology (October-December 2013)
Guest Editors: Ying Zhang, Qinghuang Lin, and Gottlieb S. Oehrlein

Alternative Lithographic Technologies (July-September 2013)
Guest Editors: Will Tong and Douglas J. Resnick

Photomasks for EUV Lithography (April-June 2013)
Guest Editors: Christopher J. Progler and Frank E. Abboud

Alternative Lithographic Technologies (July-September 2012)
Guest Editors: William M. Tong, Douglas J. Resnick, and Benjamin Rathsack

Directed Self-Assembly (July-September 2012)
Guest Editors: Daniel P. Sanders and William H. Arnold

Reliability, Packaging, Testing, and Characterization of MEMS and MOEMS III (April-June 2012)
Guest Editors: Sonia M. García-Blanco and Rajeshuni Ramesham

EUV Sources for Lithography (April-June 2012)
Guest Editors: Vivek Bakshi and Anthony Yen

Dimensional Metrology with Atomic Force Microscopy: Instruments and Applications (January-March 2012)
Guest Editors: Ronald Dixson and Ndubuisi G. Orji

Theory and Practice of MEMS, NEMS, and MOEMS (January-March 2011)
Guest Editor: Yu-Cheng Lin

Reliability, Packaging, Testing, and Characterization of MEMS and MOEMS II (October-December 2010)
Guest Editor: Rajeshuni Ramesham

Line-Edge Roughness (October-December 2010 )
Guest Editors: Chris A. Mack and Will Conley

Metrology (October-December 2010 )
Guest Editors: Moshe Preil and Shaunee Cheng

BioMEMS, Theory and Practice of MEMS/NEMS, and Sensors (July-September 2010)
Guest Editor: Yu-Cheng Lin

Extreme-Ultraviolet Lithography (October-December 2009)
Guest Editors: Kevin Cummings and Kazuaki Suzuki

Reliability, Packaging, Testing, and Characterization of MEMS and MOEMS (July-September 2009)
Guest Editors: Rajeshuni Ramesham and Allyson L. Hartzell

Computational Lithography (July-September 2009)
Guest Editors: Donis Flagello and Chris Mack

Theory and Practice of MEMS/NEMS/MOEMS, RF MEMS, and BioMEMS (April-June 2009)
Guest Editor: Yu-Cheng Lin

Extreme-Ultraviolet Interference Lithography (April-June 2009)
Guest Editor: Franco Cerrina

Double-Patterning Lithography (January-March 2009)
Guest Editor: William H. Arnold

Silicon-Based MOEMS and Their Applications (April-June 2008)
Guest Editors: Harald Schenk and Wibool Piyawattanametha

Resolution Enhancement Techniques and Design for Manufacturability (July-September 2007)
Guest Editor: Alfred K. K. Wong

Bio-MEMS and Microfluidics (April-June 2006)
Guest Editors: Wanjun Wang and Ian Papautsky

Nanopatterning (January-March 2006)
Guest Editors: Kees Eijkel, Jill Hruby, Glen Kubiak, M. Scott, Volker Saile, and Steven Walsh

MOEMS Design, Technology, and Applications (October-December 2005)
Guest Editor: M. Edward Motamedi

Polarization and Hyper-NA Lithography (July-September 2005)
Guest Editor: Donis Flagello and Christopher J. Progler

Next Generation Lithography (January-March 2005)
Guest Editor: Walt Trybula

Mask Technology for Optical Lithography (April-June 2004)
Guest Editor: Kevin D. Cummings and Frank M. Schellenberg

Immersion Lithography (January-March 2004)
Guest Editor: William H. Arnold

Surface Micromachining (October-December 2003)
Guest Editors: Jeffry J. Sniegowski and James H. Smith

Micro-Optics for Photonic Networks (October-December 2003)
Guest Editor: Thomas J. Suleski

Lithography for Sub-100-nm Device Fabrication (October-December 2002)
Guest Editor: William H. Arnold

Back to Top