Presentation
30 April 2023 MBMW (Multi-beam mask writer) : the way of realization for EUV masks for advanced nodes
Author Affiliations +
Abstract
MBMW (Multi-beam Mask Writer) has been essential for photomask production for leading edge semiconductor devices, such as 7nm node and beyond. However, it took more than 10 years and the resources of many engineers to develop this equipment and use it for manufacturing. I would like to look back on the history of its development as a major challenge in realizing discontinuous technology. In order to achieve further scaling of semiconductor devices, Extreme ultraviolet lithography (EUVL), which also has a long history of development, requires EUV masks manufactured using MBMW. In addition to this equipment, we have also developed the appropriate resist process and pattern transfer technology, and last year we were able to stably manufacture EUV masks for the 5nm node. In this presentation, we will describe these achievements, the performance of the latest MBMW, and expected future applications.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Naoya Hayashi "MBMW (Multi-beam mask writer) : the way of realization for EUV masks for advanced nodes", Proc. SPIE PC12497, Novel Patterning Technologies 2023, PC1249706 (30 April 2023); https://doi.org/10.1117/12.2657583
Advertisement
Advertisement
KEYWORDS
Photomasks

Extreme ultraviolet

Manufacturing

Extreme ultraviolet lithography

Semiconductors

Photoresist processing

RELATED CONTENT


Back to Top