Open Access Paper
29 April 2014 Front Matter: Volume 9048
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9048, including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Extreme Ultraviolet (EUV) Lithography V, edited by Obert R. Wood II, Eric M. Panning, Proceedings of SPIE Vol. 9048 (SPIE, Bellingham, WA, 2014) Article CID Number.

ISSN: 0277-786X

ISBN: 9780819499714

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2014, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/14/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9048_904801_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print and on CD-ROM. Papers are published as they are submitted and meet publication criteria. A unique, consistent, permanent citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages. Numbers in the index correspond to the last two digits of the six-digit CID Number.

Conference Committee

Symposium Chair

  • Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States)

Symposium Co-chair

  • Mircea V. Dusa, ASML US, Inc. (United States)

Conference Chair

  • Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States)

Conference Co-chair

  • Eric M. Panning, Intel Corporation (United States)

Conference Program Committee

  • Markus Bender, Advanced Mask Technology Center GmbH Company KG (Germany)

  • Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

  • Robert L. Brainard, SUNY College of Nanoscale Science and Engineering (United States)

  • Li-Jui Chen, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Daniel A. Corliss, IBM Corporation (United States)

  • Emily E. Gallagher, IBM Corporation (United States)

  • Michael Goldstein, SEMATECH Inc. (United States)

  • Frank Goodwin, SEMATECH Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Soichi Inoue, EUVL Infrastructure Development Center, Inc. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Bruno La Fontaine, Cymer, Inc. (United States)

  • Michael J. Lercel, SEMATECH Inc. (United States)

  • Ted Liang, Intel Corporation (United States)

  • Chang-Moon Lim, SK Hynix, Inc. (Korea, Republic of)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Christopher S. Ngai, Applied Materials, Inc. (United States)

  • Shinji Okazaki, Gigaphoton Inc. (Japan)

  • Uzodinma Okoroanyanwu, Consultant (Germany)

  • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • Jorge J. Rocca, Colorado State University (United States)

  • Kurt G. Ronse, IMEC (Belgium)

  • Ricardo Ruiz, HGST (United States)

  • Tsutomu Shoki, HOYA Corporation (Japan)

  • Akiyoshi Suzuki, Canon Inc. (Japan)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Thomas I. Wallow, ASML US, Inc. (United States)

  • Jeong-Ho Yeo, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Masaki Yoshioka, XTREME technologies GmbH (Germany)

Session Chairs

  • 1 Invited Session

    • Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

    • Shinji Okazaki, Gigaphoton Inc. (Japan)

  • 2 New EUV Resist Materials: Joint Session with Conferences 9048 and 9051

    • Robert L. Brainard, SUNY College of Nanoscale Science and Engineering (United States)

    • James W. Thackeray, Dow Electronic Materials (United States)

  • 3 Stochastics and EUV Process Improvements: Joint Session with Conferences 9048 and 9051

    • Roel Gronheid, IMEC (Belgium)

    • Uzodinma Okoroanyanwu, Consultant (Germany)

  • 4 EUV Source

    • Sang Hun Lee, Intel Corporation (United States)

    • Michael J. Lercel, SEMATECH Inc. (United States)

  • 5 EUV Mask I

    • Frank Goodwin, SEMATECH Inc. (United States)

    • Hidehiro Watanabe, EUVL Infrastructure Development Center, Inc. (Japan)

  • 6 EUV Mask II

    • Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

    • Guojing Zhang, Intel Corporation (United States)

  • 7 EUV Integration

    • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

    • Anthony Yen, TSMC Taiwan (Taiwan)

  • 8 EUV Mask Metrology

    • Ted Liang, Intel Corporation (United States)

    • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • 9 Metrology Sources and Modeling

    • Michael Goldstein, SEMATECH Inc. (United States)

    • Jorge J. Rocca, Colorado State University (United States)

  • 10 EUV Resist Outgas Testing

    • Soichi Inoue, EUVL Infrastructure Development Center, Inc. (Japan)

    • Kurt G. Ronse, IMEC (Belgium)

  • 11 EUV Resist I

    • Thomas I. Wallow, ASML US, Inc. (United States)

    • Christopher S. Ngai, Applied Materials, Inc. (United States)

  • 12 Exposure Tools and Extendibility

    • Eric M. Panning, Intel Corporation (United States)

    • Stefan Wurm, SEMATECH Inc. (United States) and GLOBALFOUNDRIES Inc. (United States)

  • 13 EUV Manufacturing

    • Matthew E. Colburn, IBM Corporation (United States)

    • Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

Introduction

The chairs of the Extreme Ultraviolet (EUV) Lithography V conference would like to thank the program committee, the session chairs, the presenters and the attendees for a successful 2014 meeting at SPIE Advanced Lithography in San Jose, California. Conference oral talks were up year over year with excellent worldwide representation. Peak session attendance at invited and joint sessions topped 600. Key topics included EUV scanner performance and EUV pellicle program progress, source scaling challenges including in-situ collector cleaning, mask metrology results from both the SHARP actinic microscope at LBNL and the Zeiss EUV AIMS™ tool, performance improvements in novel resist formulations, and improvement in on-product overlay, edge placement error (EPE) understanding, and EUV cost of ownership modeling.

The EUV conference received 135 abstract submissions in 2014, an increase of ~14% over the previous year. The receipt of a record number of abstract this year, 68 of which were accepted for oral presentation and 67 for presentation in the poster session, is evidence of the increasing interest in EUV lithography technology as it gets closer to HVM introduction. The 2014 SPIE Advanced Lithography Symposium preregistration totaled 2,360. This was up ~6% from 2013’s count of 2230. The average attendance at the EUV conference was 332 per session and the peak attendance in the invited sessions was 629. Both stats were up from the 2013 numbers of 258 and 620 respectively.

Attendance by session is presented in Table 1.

00001_psisdg9048_904801_page_19_1.jpg
SessionCount
Invited 1629
Joint with PM (Resist)614
Source245
Mask 1202
Mask 2214
Integration230
Mask Metrology212
Metrology Sources201
Outgassing331
Resists353
Tools & Extendibility369
Manufacturing388

Submissions by region for 2014 and 2013 are shown in Figure 2.

00001_psisdg9048_904801_page_20_1.jpg

2014 Conference Highlights

Scanners

ASML has delivered two NXE:3300B EUV scanners to customers, while installation has started on three additional systems. Six more NXE:3300Bs are currently in various stages of integration, and ASML has already begun work on its next generation EUV scanner, the NXE:33501. The first projection optics (POB) for an NXE:3350 scanner has an rms wave front error (wfe) of ~0.2 nm—significantly lower than the wfe in a typical NXE:3300B POB. Some champion printing results obtained with a NXE:3300B scanner using 90°-dipole illumination are 16 nm lines and spaced at 10% exposure latitude (EL) and 100 nm depth of focus (DOF) and 24 nm regular 1:1 contact holes at 18% EL and >120 nm DOF and a full wafer CDU of 1.2 nm (3σ). The best full-wafer dedicated chuck overlay for an NXE:3300B scanner is ~1.4 nm (3σ).

In-use reticle defectivity continues to remain challenging. The fall-on particle rate (at 92 nm sensitivity) in a 20 hour test of 7 NXE platform systems varied from 0.00 to 0.04 particles per reticle pass—a number that will need to be improved by ~100X for high volume manufacturing (HVM). ASML presented excellent progress in their pellicle development program2. Photographs of two free-standing polysilicon membranes 106 mm × 139 mm in size were shown. One was 70 nm thick and had an EUV transmission of ~82%, and the other was 57 nm thick and had an estimated transmission of ~84%. The target for EUV pellicle transmission is <90% in a single pass and ~81% in a double pass.

Sources

ASML reported 30 W of EUV power from a production LPP source resulting in 100% die yield (percentage of simulated dies meeting the 0.5% dose repro spec).1

ASML/Cymer reported achieving 70 W of power at intermediate focus for six minutes in a low-rep-rate master-oscillator-power-amplifier (MOPA) LPP source at their factory in San Diego3. ASML’s current productivity target is 70 wafers per hour (wph) in 2014 and 125 wph in 2015. TSMC reported that the no-master-oscillator (NOMO) LPP source in its NXE:3100 scanner typically provides ~ 10W at intermediate focus (IF) which would correspond to a scanner productivity of ~8 wph using ASML’s ATP (acceptance test protocol)4. Researchers from the Univ. of Illinois at Urbana-Champaign described an in-situ hydrogen-based collector cleaning process with a Sn removal rate of 1.1 nm/minute at an RF power of 300 W and that no sputtering or other damage to the collector optic was observed5.

Zeiss/Helmholtz Zentrum Berlin gave an interesting invited paper on accelerator-based EUV sources6. A design for a free-electron-laser (FEL) oscillator capable of > 1.0 kW of output power at 13.5 nm wavelength was described. The power level in an FEL oscillator will be limited by the maximum intracavity power that the cavity mirrors can handle. According to the presenter, the x-ray FEL source in Hamburg, Germany routinely operates with a reliability exceeding 90%. Even though the estimated cost of a FEL EUV source is expected to be >100M€, such a source should be able to supply power to more than one EUV scanner.

Metrology

Five years ago it was not possible to properly inspect EUV masks. Since then, SEMATECH’s AIT tool and more recently SHARP actinic microscope have demonstrated excellent progress/results7. At this conference Zeiss reported that first light had been achieved in their EUV AIMS™ tool and showed some very high quality actinic images of 2D mask patterns8. This achievement appears to have removed the last remaining technical risk from Zeiss’s EUV AIMS™ tool program and the first delivery of tools that can review the printability of 30-45 nm defects (7-11 nm at the wafer) is now expected to take place in 2015.

TSMC reported that defect-free masks can be fabricated using pattern shift defect mitigation given EUV mask blanks with < 20 defects at 25 nm SEVD size and accurate blank defect maps4. In other words, actinic pattern mask inspection tools may not be needed.

Materials

Continued progress on chemically amplified resist platforms was reported. JSR showed images of 16.7 nm lines and spaces printed at 46.5 mJ/cm2 dose9 and Fujifilm showed images of 14 nm hp features printed at 30.8 mJ/cm2 dose10. Continued progress using novel organic/inorganic resist chemistries was reported as well. Inpria showed images of 22 nm lines and spaces with an LWR of only 2.0 nm (3σ) in a 20 nm thick film of their Generation 2 patternable hardmask material that can be developed with 2-heptanone11. A group at Cornell University showed images of ~20 nm lines and spaces12 in ZrO2 – based material with an LER of only 5-7 nm using an EUV dose of only 1.4 – 1.6 mJ/cm2 and in HfO2–based material with an LER of only 3-5 nm using an EUV dose of only 2.5 mJ/cm2.

Manufacturing

ASML reported that the current best NXE to NXT on-product overlay, using an optimized 18 parameter/field correction recipe, is 5.3 nm (3σ) in x and 5.4 nm (3σ) in y13. The on-product overlay target for the 7 nm technology node is ~ 3.0 nm (3σ).

Intel reported that as the basic CD and overlay performance of scanners have improved the relative magnitude of other contributions to the total edge placement error (EPE) have grown. The author presented a detailed model developed with Mike Hanna of ASML that identifies the root cause of machine to machine overlay errors and suggests ways to help minimize them14.

IMEC presented cost of ownership estimates when using EUV litho at the 10 and 7 nm nodes. The author claimed that 193i side-wall-assisted-quadruple-patterning (SAQP) lithography will increase the cost of patterning back-end-of-line (BEOL) levels by ~16% when going from the 10 nm to the 7 nm technology node. And that the introduction of EUV lithography single exposure patterning will balance the cost of 193i SAQP when the EUV scanner throughput is above 55 wph15.

2015 Conference Call For Papers

In 2014 the installation and ramp up of the first group of production EUVL scanners will be completed. In 2015 EUV lithography technology development will require higher power sources for full loop process development and optimization. Several critical technical challenges remain, i.e., fielding EUV sources with the power and reliability required for productive exposure tool throughput, mitigating all remaining printable mask blank defects, and developing manufacturing ready resists. Looking longer term, many important questions with respect to the extendibility of the technology to 7 nm and beyond remain unanswered. Chief among these are the roles of advanced resolution enhancement techniques, double-patterning EUVL, higher NA EUV imaging systems, new source technologies like FEL, and resist stochastic effects. Technical and scientific papers advancing the state of the art in EUV Lithography are solicited.

Obert R. Wood II

Eric M. Panning

REFERENCES

[1] 

Peeters, R., et al., , “EUV lithography: NXE platform performance overview (Invited Paper),” Paper No. 9048-54.Peeters, R., et al., , “EUV lithography: NXE platform performance overview (Invited Paper),” Paper No. 9048-54.

[2] 

Zoldesi, C., et al., , “Progress on EUV-pellicle development,” Paper No. 9048-58.Zoldesi, C., et al., , “Progress on EUV-pellicle development,” Paper No. 9048-58.

[3] 

Brandt, D.C., et al., , “LPP EUV source readiness for NXE 3300,” Paper No. 9048-11.Brandt, D.C., et al., , “LPP EUV source readiness for NXE 3300,” Paper No. 9048-11.

[4] 

Yen, A. and Chen, J., , “Progress and challenges of EUV lithography for high-volume manufacturing (Invited Paper),” Paper No. 9048-1.Yen, A. and Chen, J., , “Progress and challenges of EUV lithography for high-volume manufacturing (Invited Paper),” Paper No. 9048-1.

[5] 

Elg, D. and Ruzic, D., , “In situ plasma cleaning method for collector optics,” Paper No. 9048-14.Elg, D. and Ruzic, D., , “In situ plasma cleaning method for collector optics,” Paper No. 9048-14.

[6] 

Meseck, A., et al., , “Accelerator-based EUV lithography source: FEL-oscillator, SASE-FEL, or a very different beast? (Invited Paper)” Paper No. 9048-10.Meseck, A., et al., , “Accelerator-based EUV lithography source: FEL-oscillator, SASE-FEL, or a very different beast? (Invited Paper)” Paper No. 9048-10.

[7] 

Goldberg, K.A., , “Actinic mask imaging: Recent results and future directions from the SHARP EUV microscope,” Paper No. 9048-33.Goldberg, K.A., , “Actinic mask imaging: Recent results and future directions from the SHARP EUV microscope,” Paper No. 9048-33.

[8] 

Hellweg, D., et al., , “Actinic review of EUV masks: First results from the AIMS EUV system integration (Invited Paper),” Paper No. 9048-32.Hellweg, D., et al., , “Actinic review of EUV masks: First results from the AIMS EUV system integration (Invited Paper),” Paper No. 9048-32.

[9] 

Shiratani, M., et al., , “Novel resist materials for 16 nm half pitch and EUV resist defects,” Paper No. 9048-48.Shiratani, M., et al., , “Novel resist materials for 16 nm half pitch and EUV resist defects,” Paper No. 9048-48.

[10] 

Tarutani, S., et al., , “Novel resist materials design for 14 nm half-pitch and below,” Paper No. 9048-49Tarutani, S., et al., , “Novel resist materials design for 14 nm half-pitch and below,” Paper No. 9048-49

[11] 

Grenville, A., et al., , “Process-stable EUV patternable metal oxide hardmask,” Paper No. 9048-3.Grenville, A., et al., , “Process-stable EUV patternable metal oxide hardmask,” Paper No. 9048-3.

[12] 

Chakrabarty, S., et al., , “Oxide nanoparticle photoresists: EUV patterning and mechanistic evidence,” Paper No. 9048-47.Chakrabarty, S., et al., , “Oxide nanoparticle photoresists: EUV patterning and mechanistic evidence,” Paper No. 9048-47.

[13] 

Mulkens, J., et al., , “Across scanner platform optimization to enable EUV lithography at the 10nm logic node,” Paper No. 9048-56.Mulkens, J., et al., , “Across scanner platform optimization to enable EUV lithography at the 10nm logic node,” Paper No. 9048-56.

[14] 

Phillips, M.C., , “Challenges of EUV/193i complementary lithography (Invited Paper),” Paper No. 9048-2.Phillips, M.C., , “Challenges of EUV/193i complementary lithography (Invited Paper),” Paper No. 9048-2.

[15] 

Mallik, A., et al., , “The economic impact of EUV lithography on critical process modules,” Paper No. 9048-62.Mallik, A., et al., , “The economic impact of EUV lithography on critical process modules,” Paper No. 9048-62.

© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9048", Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 904801 (29 April 2014); https://doi.org/10.1117/12.2065428
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Photomasks

Scanners

Lithography

Inspection

Metrology

Back to Top