Paper
13 October 2011 Reducing shot count through optimization-based fracture
Author Affiliations +
Abstract
The increasing complexity of RET solutions with each new process node has increased the shot count of advanced photomasks. In particular, the introduction of inverse lithography masks represents a significant increase in mask complexity. Although shot count reduction can be achieved through careful management of the upstream OPC strategy and improvement of fracture algorithms, it is also important to consider more dramatic departures from traditional fracture techniques. Optimization based fracture allows for overlapping shots to be placed in a manner that allows the mask intent to be realized while achieving significant savings in shot count relative to traditional fracture based methods. We investigate the application of Optimization based fracture to reduce the shot count of inverse lithography masks, provide an assessment of the potential shot count savings, and assess its impact on lithography process window performance.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Timothy Lin, Emile Sahouria, Nataraj Akkiraju, and Steffen Schulze "Reducing shot count through optimization-based fracture", Proc. SPIE 8166, Photomask Technology 2011, 81660T (13 October 2011); https://doi.org/10.1117/12.897779
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Lithography

Photovoltaics

Resolution enhancement technologies

Optical proximity correction

Optimization (mathematics)

RELATED CONTENT


Back to Top