Paper
17 March 2010 Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study
Author Affiliations +
Abstract
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. We characterized EUVL readiness of the three major resist platforms for sub-30 nm half-pitch (HP) manufacturability using a full-field ASML alpha demo tool (ADT) scanner and studied the extendibility of EUV chemically amplified resist (CAR). Based on an "M-factor" analysis, which shows the maturity of EUV resist for 28 nm HP manufacturability, a polymer-bound photoacid generator (PAG) resist was 78% ready, a PHS hybrid resist was 81%, and a molecular glass EUV resist was 58%. The polymer-bound resist showed good resolution for 25 nm HP using the ASML ADT. It also demonstrated fair linewidth roughness (LWR) and a good lithographic process margin of 18% exposure latitude (EL) and 160 nm depth of field (DOF) for 28 nm HP patterning compared with the other resist platforms, but its resist collapse and etch resistance need to be improved for manufacturability. PHS hybrid resist showed a fair etch resistance and resist collapse performance compared to the other resist platforms, but LWR needs to be improved. The molecular resist needs to mature further, especially in resist collapse and iso-dense (ID) bias. When considering its many strong points and control of lower acid diffusion, the polymer-bound PAG resist appears to be the most suitable platform for manufacturability and EUV CAR extension. We therefore would like to encourage the development of next generation polymer-bound PAG resist with a higher etch resistance. A process window of 80 nm DOF was demonstrated for 26 nm HP patterning and a measurable DOF for 25 nm HP was achieved with the polymer-bound PAG resist. Resist collapse and LWR are major issues for 22 nm HP patterning in manufacturing. LWR improvements were achieved with various techniques, and resist collapse was greatly improved with a novel approach that uses a residual resist layer. 16 nm HP line/space (L/S) image modulation and 18 nm HP resolution were demonstrated with an EUV CAR, indicating that EUV CAR could be extended to sub-20 nm HP patterning.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chawon Koh, Jacque Georger, Liping Ren, George Huang, Frank Goodwin, Stefan Wurm, Dominic Ashworth, Warren Montgomery, Bill Pierson, Joo-on Park, and Patrick Naulleau "Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study", Proc. SPIE 7636, Extreme Ultraviolet (EUV) Lithography, 763604 (17 March 2010); https://doi.org/10.1117/12.846629
Lens.org Logo
CITATIONS
Cited by 15 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical lithography

Extreme ultraviolet

Manufacturing

Line width roughness

Polymers

Etching

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top