Paper
17 March 2008 Immersion defectivity study with volume production immersion lithography tool for 45 nm node and below
Katsushi Nakano, Shiro Nagaoka, Masato Yoshida, Yasuhiro Iriuchijima, Tomoharu Fujiwara, Kenichi Shiraishi, Soichi Owa
Author Affiliations +
Abstract
Volume production of 45nm node devices utilizing Nikon's S610C immersion lithography tool has started. Important to the success in achieving high-yields in volume production with immersion lithography has been defectivity reduction. In this study we evaluate several methods of defectivity reduction. The tools used in our defectivity analysis included a dedicated immersion cluster tools consisting of a Nikon S610C, a volume production immersion exposure tool with NA of 1.3, and a resist coater-developer LITHIUS i+ from TEL. In our initial procedure we evaluated defectivity behavior by comparing on a topcoat-less resist process to a conventional topcoat process. Because of its simplicity the topcoatless resist shows lower defect levels than the topcoat process. In a second study we evaluated the defect reduction by introducing the TEL bevel rinse and pre-immersion bevel cleaning techniques. This technique was shown to successfully reduce the defect levels by reducing the particles at the wafer bevel region. For the third defect reduction method, two types of tool cleaning processes are shown. Finally, we discuss the overall defectivity behavior at the 45nm node. To facilitate an understanding of the root cause of the defects, defect source analysis (DSA) was applied to separate the defects into three classes according to the source of defects. DSA analysis revealed that more than 99% of defects relate to material and process, and less than 1% of the defects relate to the exposure tool. Material and process optimization by collaborative work between exposure tool vendors, track vendors and material vendors is a key for success of 45nm node device manufacturing.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Katsushi Nakano, Shiro Nagaoka, Masato Yoshida, Yasuhiro Iriuchijima, Tomoharu Fujiwara, Kenichi Shiraishi, and Soichi Owa "Immersion defectivity study with volume production immersion lithography tool for 45 nm node and below", Proc. SPIE 6924, Optical Microlithography XXI, 692418 (17 March 2008); https://doi.org/10.1117/12.772270
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Particles

Semiconducting wafers

Photoresist processing

Bridges

Coating

Inspection

Photomasks

Back to Top