Paper
21 March 2007 Laser-produced plasma source system development
Igor V. Fomenkov, David C. Brandt, Alexander N. Bykanov, Alexander I. Ershov, William N. Partlo, David W. Myers, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Ernesto Vargas L., Rodney D. Simmons, Juan A. Chavez, Christopher P. Chrobak
Author Affiliations +
Abstract
This paper describes the development of laser produced plasma (LPP) technology as an EUV source for advanced scanner lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193 nm immersion technology for critical layer patterning below 32 nm beginning with beta generation scanners in 2009. This paper describes the development status of subsystems most critical to the performance to meet joint scanner manufacturer requirements and semiconductor industry standards for reliability and economic targets for cost of ownership. The intensity and power of the drive laser are critical parameters in the development of extreme ultraviolet LPP lithography sources. The conversion efficiency (CE) of laser light into EUV light is strongly dependent on the intensity of the laser energy on the target material at the point of interaction. The total EUV light generated then scales directly with the total incident laser power. The progress on the development of a short pulse, high power CO2 laser for EUV applications is reported. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. The deposition of target materials and contaminants, as well as sputtering of the collector multilayer coating and implantation of incident particles can reduce the reflectivity of the mirror substantially over the exposure time even though debris mitigation schemes are being employed. The results of measurements of high energy ions generated by a short-pulse CO2 laser on a laser-produced plasma EUV light source with Sn target are presented. Droplet generation is a key element of the LPP source being developed at Cymer for EUV lithography applications. The main purpose of this device is to deliver small quantities of liquid target material as droplets to the laser focus. The EUV light in such configuration is obtained as a result of creating a highly ionized plasma from the material of the droplets. Liquid tin is the material of choice to be used as a target due to the relatively high CE of the laser energy into in-band EUV radiation. Results obtained with the droplet generator and technical challenges related to successful implementation of the device are discussed.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Igor V. Fomenkov, David C. Brandt, Alexander N. Bykanov, Alexander I. Ershov, William N. Partlo, David W. Myers, Norbert R. Böwering, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Ernesto Vargas L., Rodney D. Simmons, Juan A. Chavez, and Christopher P. Chrobak "Laser-produced plasma source system development", Proc. SPIE 6517, Emerging Lithographic Technologies XI, 65173J (21 March 2007); https://doi.org/10.1117/12.713454
Lens.org Logo
CITATIONS
Cited by 14 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Ions

Gas lasers

Plasma

Tin

Spectroscopy

Carbon monoxide

RELATED CONTENT


Back to Top