Paper
28 May 2004 Defect printability in CPL mask technology
Author Affiliations +
Abstract
Each generation of semiconductor device technology drive new and interesting resolution enhancement technology (RET’s). The race to smaller and smaller geometry’s has forced device manufacturers to k1’s approaching 0.40. The authors have been investigating the use of Chromeless phase-shifting masks (CPL) exposed with ArF, high numerical aperture (NA), and off-axis illumination (OAI) has been shown to produce production worthy sub-100nm resist patterns with acceptable overlapped process window across feature pitch. These new reticle technologies have many issues that are similar to simple binary masks. The authors have investigated the printability of defects in CPL mask technology. Programmed defects of various sizes and types have been simulated and printed for sub 100nm imaging. High resolution scanning electron microscopy has been used to characterize these defects and develop an understanding of size and type that prints. In this paper the authors will focus on image line end shortening and the impact of through dose and focus performance for very high NA ArF imaging. The authors have built a number of test structures that require superior 2D control for SRAM gate structures. Various types of line ends have been evaluated for either straight CPL mask or hybrid type builds.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jan-Pieter Kuijten, Arjan Verhappen, Wil Pijnenburg, Will Conley, Lloyd C. Litt, Wei Wu, Patrick Montgomery, Bernard J. Roman, Bryan S. Kasprowicz, Christopher J. Progler, Robert John Socha, Douglas J. Van Den Broeke, Erika Schaefer, and Pat Cook "Defect printability in CPL mask technology", Proc. SPIE 5377, Optical Microlithography XVII, (28 May 2004); https://doi.org/10.1117/12.537619
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Resolution enhancement technologies

Reticles

Manufacturing

Scanning electron microscopy

Binary data

Phase shifts

Back to Top