PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
A cost of ownership (CoO) model is used to analyze the cost of the next generation lithography (NGL) technology which includes proximity x-ray, ion beam projection (IPL), EUV and SCALPEL. The model takes into account the equipment cost, throughput, resist/process cost and mask cost. The purpose of this paper is to propose a baseline to discuss on the NGL CoO issue. General conclusion is that x-ray appears to be most cost-effective. This is the case when plural steppers are installed to a storage ring. IPL has to use a certain extent of complementary mask mode which may increase the IPL total cost. EUV appears to require higher throughput to be cost-competitive to other technologies because of expected higher machine and mask costs. SCALPEL may have difficulty to attain competent throughput. The key feature in this case is the electron beam current and the width of a unit exposure field covered by electron beam scanning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper provides an in-depth report of the advanced materials and process technology being developed for x-ray mask manufacturing at IBM. Masks using diamond membranes as replacement for silicon carbide are currently being fabricated. Alternate tantalum-based absorbers, such as tantalum boron, which offer improved etch resolution and critical dimension control, as well as higher x-ray absorption, are also being investigated. In addition to the absorber studies, the development of conductive chromium- based hard-mask films to replace the current silicon oxynitride layer is being explored. The progress of this advanced-materials work, which includes significant enhancements to x-ray mask image-placement performance, will be outlined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses the resolution capabilities of proximity x-ray lithography (PXRL) system. Exposure characteristics of features designed at 150 nm pitch size: 75 nm dense lines with 1:1 duty ratio, 2D features at 1:1 and 1:2 duty ratios and isolated lines have been studied. Aerial image simulations were compared to the experimental data. Verification of the aerial image model has been accomplished by measurements of exposure windows of 100 nm and 125 nm nested lines. The PXRL aerial image parameter, equivalent penumbra blur, has been determined from the experimental data. Contributions from the synchrotron radiation x-ray source, stepper and the chemically amplified resist to the degradation of the aerial image have been evaluated. Patterning capability of PXRL at 75 nm feature size is compared to projection optics using the optical k1 factor as a common figure of merit. To facilitate the comparison, optical imagin was at pattern sizes currently manufacturable by the mainstream optical tools while the PXRL imaging was at 75 nm pattern size. Requirements for a PXRL system of manufacturing VLSI at 70 nm minimum feature sizes with the critical dimension control better than 10 percent are also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The materials of x-ray absorbers for x-ray mask have been changed from gold and tungsten-based alloys to tantalum (Ta) and Ta-based compounds in x-ray lithography. Different candidates of x-ray absorber, especially Ta-based compounds, were sputtered and evaluated in this study. By incorporating silicon or germanium into tantalum, amorphous TaSi-based and TaGe-based compounds were formed and qualified as the absorber materials. Because the reproducibility of as- deposited stress by tuning the sputtering parameters is not so well for these compounds right after sputtering, we utilized the step annealing by RTA to control the stress such that within +/- MPa is obtainable. Furthermore, with N2 plasma treatment in PECVD chamber the slope of stress with respect to annealing temperature is smaller and posses good stability after long-time exposure to the air. Finally, the etching properties of TaX compounds were compared with and without tri-layer structure of oxide/absorber/oxide. And, 0.35 micrometers patterns are etched successfully with vertical sidewall by Cl2 etchant.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A resist process has been defined, characterized and optimized using Shipley UVN2 chemically amplified negative resist for the fabrication of x-ray membrane masks using electron-beam lithography. Advanced masks require precise control of 150 nm critical dimensions with test features of 100 and 125 nm. UVN2, a chemically amplified negative resist designed for DUV processes, also functions as an electron- beam resist wit sensitivities of 10 to 20 (mu) C/cm2 depending upon the bake parameters. This paper discusses the process and demonstrates the capability of the resist on membrane masks. Post-apply bake (PAB) and post-expose (PEB) affect the resist sensitivity and process latitude of UVN2. The resists process was defined by using a statistically designed experiment to optimize the PAB and PEB conditions. The figures of merit included resist sensitivity, dose latitude, resist thinning and resolution. Once the patterning process was defined, the etch processes and optimized, features as small as 100 nm have been successfully transferred from the UVN2 resist into the tantalum-silicon membrane with critical-dimension uniformity of less than 15nm 3(sigma) within a mask. The process latitude, resolution, and excellent CD uniformity result obtained for UVN2 resist are consistent with the manufacturing requirements for the fabrication of x-ray membrane masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Availability of production-worthy x-ray masks is of great concern to the lithographic community in anticipation of insertion of x-ray lithography as the leading contender among the next generation lithographies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advances in today's semiconductor industry have been achieved mainly by decreasing the minimal feature size thereby increasing the complexity of the devices. Lithography tool shave to provide for high resolution and large depth of focus. X-ray lithography offers promising solutions and is currently an actively researched area.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents soft-contact x-ray lithography exposure results at sub-40 nm length scales and shows that the process latitude for such exposures is extremely wide. For feature sizes as large as 70 nm and as small as 30 nm in PMMA resist, no statistically significant difference in printed linewidth is seen for development times up to 50 percent greater than the time required for clearing of features. Within this 50 percent development window, dense features as small as 45 nm and isolated features as small as 30 nm are within a +/- 10 percent CD variation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Gray-level printing is an efficient strategy to create small-address patterns on photomasks. This work provides a technical description of the multipass gray (MPG) raster- scan writing technique as implemented on the MEBES 4500S and MEBES 5000 electron-beam pattern generation tools. The differences between single-pass printing (SPP) and MPG are reviewed. The factors that allow increase in throughput and dose with MPG are explained. Aerial image simulations of edge placement and corner rounding verify the MPG model. Multipass writing with offset scan voting, which reduces random and systematic errors, is explained. Because MPG is a gray-level printing technique, the dose distribution across feature edges is necessarily broader than that derived from SPP writing. Simulations and experimental results indicate that, using ZEP 7000 resist and dry etch, edges can be placed without loss of accuracy, despite the width of this 'gray' profile. The spot size necessary to obtain optimal critical dimension quality is also determined by simulation and empirically. The lithographic quality of MPG writing/processing is confirmed by composite metrology test that sample the whole quality area of the mask. We conclude that MPG is a viable technique for writing advanced masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Increasing demands on pattern fidelity and CD accuracy in e- beam lithography require a correction of the e-beam proximity effect. The new needs are mainly coming from OPC at mask level and x-ray lithography. The e-beam proximity limits the achievable resolution and affects neighboring structures causing under- or over-exposion depending on the local pattern densities and process settings. Methods to compensate for this unequilibrated does distribution usually use a dose modulation or multiple passes. In general raster scan systems are not able to apply variable doses in order to compensate for the proximity effect. For system of this kind a geometrical modulation of the original pattern offers a solution for compensation of line edge deviations due to the proximity effect. In this paper a new method for the fast correction of the e-beam proximity effect via geometrical pattern optimization is described. The method consists of two steps. In a first step the pattern dependent dose distribution caused by back scattering is calculated by convolution of the pattern with the long range part of the proximity function. The restriction to the long range part result in a quadratic sped gain in computing time for the transformation. The influence of the short range part coming from forward scattering is not pattern dependent and can therefore be determined separately in a second step. The second calculation yields the dose curve at the border of a written structure. The finite gradient of this curve leads to an edge displacement depending on the amount of underground dosage at the observed position which was previously determined in the pattern dependent step. This unintended edge displacement is corrected by splitting the line into segments and shifting them by multiples of the writers address grid to the opposite direction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work we characterized the temperature increase in SiHN mask membrane during e-beam writing. We observed an exponential decay with a decay length in the order of 1mm-1, and absolute temperature raises of 8 degrees K. This is the first time that direct measurement have been obtained. By fitting the observed data, we have extracted the thermal conductivity and emissivity of the film. These experimental values are essential in the modeling of the response of the masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Low energy e-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes <EQ 0.1 micrometers . This new e-beam lithography is similar to optical projection lithography except that photons are replaced by low energy electrons. The low e-beam energy permits the use of single crystal 0.5 micrometers thick silicon membrane masks without an absorbing metal layer of high atomic number. The membrane mask is thick enough for good heat conduction and thin enough for feature sizes <EQ 0.1 micrometers . The proposed system does not suffer form space charge or proximity effects and is fundamentally a low voltage and low power density lithography with respect to both the mask and the wafer. We predict a throughput of 30 12 inch wafers per hour. Furthermore, the cost of this tool is predicted to be considerably less than today's advanced optical steppers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A virtual mask laboratory has been developed at the UW Computational Mechanics Center to aid in the design and optimization of the SCALPEL mask. Finite element models have been generated to simulate the thermomechanical response of the mask during fabrication, pattern transfer, mounting and exposure. Results on the mask-related distortions can be used to assess image placement accuracy and mask stability; examples of accurate procedures to vectorially sum in-plane distortion maps from the various sources are presented. In addition, experimental methods to provide material properties and stress characterization data are outlined, along with techniques to verify and benchmark the mechanical models.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the SCALPEL system developed by Lucent Technologies, a mask consisting of a low atomic number membrane and a high atomic number pattern layer is uniformly illuminated by high energy electrons. Commercialization of the SCALPEL technology requires a manufacturing infrastructure for mask fabrication. This infrastructure is composed of a mask blank supplier who fabricates the membrane mask structure, and a mask patterning facility that writes and etches the patterns into the high atomic number layer. In collaboration with Lucent Technologies, MCNC has developed and implemented a fabrication process and measurement methodology in an effort to establish a commercially viable manufacturing line for SCALPEL mask blanks. This paper presents the process and measurement methodology, measurement result, the key issues associated with this effort.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the stringent error budget required for sub-130 nm lithography, the development of a low distortion mask is a key concern. Because the global stress field of a thin film layer can introduce distortions in the mask, it is essential that the characteristics of these stress fields be understood and controlled, in order to achieve the high resolution and positioning accuracy required. In this paper, we describe an alternative stress measurement technique that applies the resonant frequency technique (RFT) to stress mapping. Repeatability and uncertainty of the experimental method are discussed. Also, a theoretical analysis of the sensitivity of the stress measurements due to film stack temperature fluctuations was performed. RFT procedures were used to determine the uniformity of the composite film stress across the mask. Tests on the SCALPEL prototype mask identified a radial-type stress gradient. In addition, RFT measurements were used to assess radiation damage of the SiN/Cr/W membrane stack. Preliminary results indicate that the membrane multilayer is essentially insensitive to radiation effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chris L. Newport, Jeffrey Parker, K. Michael Smith, Albert Benveniste, Nam-Wook Kim, David Reyland, Reginald C. Farrow, Anthony E. Novembre, Richard J. Kasica, et al.
The purpose of this paper is to investigate the viability of fabricating SCALPEL masks at a DuPont Photomasks, Inc. commercial mask shop. The MEBES 4500 electron beam exposure system and standard inspection tools were used in SCALPEL manufacture to study the key issues to be overcome and the key components needed to succeed in large-scale manufacture. SCALPEL is a next generation lithography technology being researched and developed at Lucent Technologies as the semiconductor industry moves beyond optical lithography. The SCALPEL tool uses a membrane-type mask for high-resolution patterning on Si wafers. SCALPEL mask manufacturing present new and challenging operations in a commercial mask production facility. The production sequence of SCALPEL masks is not uncommon to the current Cr/Qz environment, but introduces the commercial facility to issues at a different level. SCALPEL mask exposure has been accomplished using MEBES III and an advanced MEBES 4500 e-beam lithography system. Pattern imaging, CD metrology, defect inspection, registration metrology, mask handling, and cleaning operations have been attempted with various levels of success. Data and further development of the processes in the commercial facility, along with the challenges and results of these experiences, are detailed in this presentation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SCALPEL is a tue 4X reduction technology that capabilities on high resolution capabilities from electron beam exposure and high throughput capabilities from projection printing. Current mask blank fabrication for SCALPEL technology use widely available 100 mm, crystalline silicon wafers. The use of 100 mm crystalline wafers and a wet, through wafer etch process causes the patterned strut width to increase as the wafer is etched and must be accounted for in the mask blank fabrication process. In the wet etch process, a 100 micrometers wide strut grows to 800 micrometers at the strut-membrane interface. As a consequence, the maximum printable die size due to the wafer size and the decreased amount of open area between each strut is 8 X 8 mm. Additionally, crystal defects in the silicon wafer affect the wet etch process and contribute to mask blank failures. A partial solution for an increased die size is to increase the wafer size used to make the SCALPEL mask blank. A 200 mm wafer is capable of producing large die sizes. This can be further improved by dry etching of the grill structure to form struts with vertical sidewalls. As a result, due sizes of 25 X 25 mm or 16 X 32.5 mm can be produced depending on the grill pattern used. However, use of large wafers and dry etching for mask blank formation has significant issues that must be addressed. Among the issues to be addressed are etch chemistries, etch mask materials, and wafer handling.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Charged particle lithography systems face a unique challenge because throughput and resolution are linked through the dependence of beam blur on beam current. Understanding the function from of this dependence is vital, both for understanding the throughput limits of such systems, and also for the purposes of optimizing system design. We have developed a simple model describing the effects of image blur on printed resist feature size. The uncertainty in resist feature measurement enables us to determine the overall image blur to an accuracy of approximately 5 nm. We have also begun to develop an aerial image monitoring scheme that can, in principle, measure the image blur to an accuracy of<EQ 1 nm. While the resist based measurement scheme is useful for determining large space-charge blurs, and for optimizing the resist itself, the aerial image monitoring approach has sufficient accuracy to allow us to determine the functional dependence of beam blur on current.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Successful deployment of SCALPEL for several post-optical production lithography generations requires a unique optimum writing-strategy. Since the electron optics sub-field and the strutted mask patten segment are both smaller than the final device image area, SCALPEL utilizes a stitching approach to image-formation. A dynamic sub-field placement scheme, or 'writing strategy', must provide precise 2D stitching at high speed, and eliminate mask strut images on the wafer. It should also provide the extended dynamic lens field necessary for good throughput, while minimizing all non-exposure times per wafer and maintaining the time- averaged current near the instantaneous space-charge limit. The preferred writing-strategy replaces mechanical stage acceleration events with beam deflection wherever possible. The unique writing-strategy presented here also generates the required 2D seam-blending dose-profiles, which are vital to robust CD control with stitching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In SCALPEL, the maximum projected area of the electron optical sub-field, though large by electron optical standards, is limited by aberrations of the projection optics. The effective exposure region can be increased by electronically scanning the illumination off-axis, in a direction orthogonal to the mechanical motion of the mask and wafer stages. Even though the aberrations associated with the scan are minimized by applying dynamic corrections, the residual aberrations may still increase with increasing off-axis scan distance. Thus the image quality may very during dose accumulation in each pixel. Our previous simulation method has been extended to consider the writing strategy, including scanning the sub-field over a pixel, and eliminating the mask struts and the non-patterned regions at the wafer plane. We have examined two variations of writing strategy, for a column with demagnification m: (1) The case where the mask stage velocity is exactly m times the wafer stage velocity, k and (2) The case where the mask stage velocity is increased so that the mask and wafer patterns remain in synchronism with each other, after removing the unpatterned areas of the mask from the image. In case (1), an additional deflection is required to eliminate the struts and skirts in the mask from the printed image. This deflection increases with time, as the mask and wafer patterns get progressively out of synchronism. Case (2) eliminates this effect. We compare these two cases, and show that case (2) provides significantly improved fabrication pattern quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reginald C. Farrow, Warren K. Waskiewicz, Isik C. Kizilyalli, Gregg M. Gallatin, James Alexander Liddle, Masis M. Mkrtchyan, Avi Kornblit, Leonidas E. Ocola, Fred P. Klemens, et al.
A manufacturable process for fabricating alignment marks that are compatible the SCALPEL lithography system is described. The marks were fabricated in a SiO2/WSi2 structure using SCALPEL lithography and plasma processing. The positions of the marks were detected through e-beam resist in the SCALPEL proof of lithography (SPOL) tool by scanning the image of the corresponding mask mark over the wafer mark and detecting the backscattered electron (BSE) signal. Scans of 1 micrometers line-space patterns yielded mark positions that were repeatable within 20 nm 3(sigma) with a dose of 4 (mu) C/cm2 and signal-to-noise of 32 dB. An analysis shows that the measured repeatability is consistent with a random noise limited response combined with SPOL machine factors. By using a digitally sequenced mark pattern, the capture range of the mark detection was increased to 13 micrometers while maintaining 35 nm 3(sigma) precision. Further improvements in mark detection repeatability are expected when the SCALPEL electron optics is fully optimized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High acceleration voltage electron beam exposure is one of the possible candidates for post-optical lithography. The use of electrons, instead of photons, avoids optical related problems such as the standing wave issues. However, resists must conform to certain needs for the SCALPEL system, such as exposure in a vacuum chamber with 100kv electron beams. Taking into account the challenging requirements of high resolution, high sensitivity, low bake dependency and no outgassing, TOK has been able to develop resists to meet most of the SCALPEL system needs. However, due to the nature of chemical amplification and the PEB dependency, as is the case with DUV resist which varies for different features, we must recommend different resist for multiple features such as dense lines, isolated lines and contact holes. TOK has designed an electron beam negative resist, EN-009, which demonstrate 100nm pattern resolution. The dose to print on the SCALPEL system is 5.0(mu) C/cm2. The electron beam positive resist, EP-004M, has been designed for line and space patterns. The dose to print on the SCALPEL system is 8.25(mu) C/cm2. The processing conditions are standard, using 0.26N developer. These are the lowest exposure energies reported to date for similar resolution on this exposure tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV lithography is the most promising candidate for delineating patterns below 70 nm. Last year, the Japanese government provided a supplemental budget to the research program on EUV lithography. The overall Japanese plan for the development of EUV lithography has 3 phases. The first is the ASET program, which concerns the development of basic technologies for EUV lithography. The second phase is the development of an EUV lithography system. And the final one is the development of technologies that will make EUV lithography practical. Current plans call for each phase to take 3 years, for a total of 9 years for the whole program. Ten semiconductor manufacturers and two equipment suppliers participate in the first-phase ASET EUV program described in this paper. In this program, the basic technologies of EUV lithography will be developed, which include multilayer mirror mask technology, resist materials and process technology for top surface imagin, and metrology for aspherical optics. This program will be carried out at the Atsugi research center and two branch laboratories at Himeji and Sagamihara.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Although EUV-optics can be very accurately tested interferometrically employing a synchrotron, testing with a laser-induced or pinch plasma is attractive because of the lower cost of such sources. Within Philips Research a project has started to build an interferometer employing a laser plasma source and a Ronchi-test which is modified in such a way that two-beam interferograms are obtained. We analyze the accuracy, the vibration and drift sensitivity and the dynamic range of the interferometer for three different combinations of entrance and exit grating by means of computer-generated interferograms. The results are compared with an optical experiment, in which the relevant parameters of the interferometer have been scaled up so that visible light can be used.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
John E. M. Goldsmith, Kurt W. Berger, Dan R. Bozman, Gregory Frank Cardinale, Daniel R. Folk, Craig C. Henderson, Donna J. O'Connell, Avijit K. Ray-Chaudhuri, Kenneth D. Stewart, et al.
The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic improvements in EUV imaging, illustrated by resolution of 70 nm dense lines and spaces (L/S). CD linearity has been demonstrated for dense L/S over the range 100 nm to 80 nm, both for the imaging layer and for subsequent pattern transfer. For a +/- 10 percent CD specification, we have demonstrated a process latitude of +/- micrometers depth of focus and 10 percent dose range for dense 100 nm L/S.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An intense pulsed capillary discharge source operating at 13.5 nm and 11.4 nm, suitable for use in conjunction with Mo:Si or Mo:Be coated optics, has produced an average power of approximately 1.4W within a 0.3 nm emission bandwidth from the end of the capillary when operated at a repetition rate of 100 Hz. The source is comprised of a small capillary discharge tube filled with xenon gas at low pressure to which electrodes are attached at each end. When a voltage is applied across the tube, an electrical current is generated for short periods within the capillary that produces highly ionized xenon ions radiating in the EUV. Issues associated with plasma bore erosion are currently being addressed from the standpoint of developing such a source for operation at repetition rates of greater than 1 kHz.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report printing of sub-20nm line/space patterns by Interferometric Lithography technique with EUV light for the first time. EUV lithography is pursued as one of the candidate next generation lithography technologies. New photoresist materials need to be developed and characterized for this spectral region mainly because of high absorption coefficients of materials. EUV interferometric lithography is a useful tool for testing of materials with high resolution features especially because EUV lithographic systems are still under early development phases. It provides a cost effective and simple way of achieving this without the need for complicated imaging system. We employed a Lloyd's Mirror Interferometry scheme with monochromatized undulator light from an electron storage ring. The technique is described and results showing the printed patterns are presented. Potential uses of the method for lithography research are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper introduces a methodology for rigorous computation of electric fields in the neighborhood of reflective masks employed in EUV lithography. Specifically, the media used in this application place stringent requirements on the spatial and temporal grids in order to achieve a stable and accurate Finite-Difference, Time-Domain calculation. An analytical expression for the electric field reflectivity off the multilayer is harnessed to define effective media that can decrease run times by as much as an order of magnitude. This framework is then used to analyze the effect of the absorber thickness on image linewidth and quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recent experimental results from an actinic EUVL mask blank defect inspection system are presented. Bright-field and dark-field scans from various programmed defect samples are reported. Our results show that the current system can detect defects as small as 0.2 micrometers . Substrate roughness is identified as the limitation to the detection sensitivity. A preliminary defect counting experiment is reported and future improvements for practical defect counting are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the last two years, we have developed tow Extreme UV (EUV) mask fabrication process flows, namely the substractive metal and the damascene process flows, utilizing silicon wafer process tools. Both types of EUV mask have been tested in a 10X reduction EUV exposure system. Dense lines less than 100 nm in width have been printed using both 0.6 micrometers thick top surface imaging resists and ultra-thin DUV resist. The EUV masks used in EUV lithography development work have been routinely made by using the current wafer process tools. The two EUV mask processes that we have developed both have some advantages and disadvantages. The simpler subtractive metal process is compatible with the current reticle defect repair methodologies. On the other hand, the more complex damascene process facilitates mask cleaning and particle inspection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We discuss the applicability of a patterned hot electron emission mask in Hot Electron Emission Lithography (HEEL). This method holds the promise of printing entire circuits with exposure times of a few seconds and a resolution of at least 0.1 microns. The hot electron emission mask consists of a silicon wafer with a patterned oxide to two discrete thicknesses and a thin gate electrode. Under positive gate bias, electrons tunnel through the thin oxide regions and are accelerated by the oxide field. Some of these electrons are emitted through the thin gate into vacuum where they are projected onto a second, resist coated wafer by parallel E and B fields. We present experimental data on the mask properties, including energy distribution, angular distribution, electron efficiency and measurements on the mask reliability. We demonstrate 160 nm resolution in a 1:1 projection systems and we anticipate that it will be capable of printing feature sizes of 50 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reviews recent progress in our development of a new maskless lithography scheme which utilizes an array of Fresnel zone plates to write arbitrary patterns on a wafer. Maskless, zone-plate-array lithography (ZPAL) should be capable of producing 25 nm feature sizes at a throughput of 1 cm2/second using 4.5 nm radiation form an undulator on a compact synchrotron. This wavelength will allow a large depth-of-focus with essentially no proximity effect at a large gap between the zone-plate array and the substrate. We present a detailed ZPAL system design, and show calculations and simulations which address issues of resolution, contrast, throughput, source characteristics, and micromechanical modulation schemes for x-ray beamlets in ZPAL. We review our experimental efforts in ZPAL in the x- ray and UV regions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For fine pattern fabrication using e-beam lithography, the resist film thickness must be decreased, and therefore, the plasma etching process must be reformed. We have proposed the use of Electron Beam Excited Plasma (EBEP) and Synchrotron Radiation Excited Plasma (SREP) etching techniques for fine pattern etching. Our EBEP reactor uses a 1 micrometers thick polyimide interface film, which isolates the reactor from the e-beam source but allows electron transmission at the same time. The transmission of high energy electrons through the interface film cause ablation of the interface film and deposition of carbonaceous film on the substrate in the reactor. From the study of this phenomenon in Ar atmosphere, it was found that the ablated species are charged with either polarity. However, a pronounced and non-uniform deposition was observed for a particular substrate holder, which caused non-uniform electric field near the substrate. Thus, with an improved substrate holder, silicon etching was realized in CF4 gas. This etching could be observed only under negative bias condition, as seen earlier for SREP etching. Sub 100 nm line and space patterns were successfully etched with SREP and EBEP etching techniques using ultra thin Langmuir BLodgett stearic acid resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We update previously reported results on the absorption of optical materials and coatings for use in 157 nm based optical projection system. New results include the transmissions spectrum of a modified from of fused silica with suitable initial transmission for use as a mask substrate. We also report on a more systematic study of the effects of surface contaminants on optical components at 157 nm. We have modified our vacuum spectrometer to allow in- situ cleaning to enable a closer examination of purging requirements and cleaning procedures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As optical lithography below 193 nm is explored, materials issues become more challenging. Thin film coatings that are sufficient for use at wavelengths near or above 200 nm are more likely than not to be problematic at 157 nm, 126 nm, or other potential VUV wavelengths. The situation is a concern for optical coatings, masking films, and for resist/substrate reflectivity control. Potential solutions for several film types are presented, which have been deposited and optically characterized for use as attenuated phase shift masking films, binary masking films, and optical coatings for use at 157 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Numerical simulation was performed on a new high resolution micro-lithography using thermo-resist. This high resolution optical-thermal lithography uses a thermo-resist instead of photoresist taking advantage of a nonlinear superposition effect. The nonlinear superposition utilizes the fact that thermo-resists do not follow the reciprocity law. This phenomena allows to separate a complex image into simple sub-sets which are imaged onto the same die. This concept will realize a fabrication of 100nm features with existing steppers and without and proximity effects. Hopkin's 4D integral is used for calculations of the diffraction intensity of projection image. Intensity of impressed heat flux is assumed to be proportional to the intensity of this diffraction image. Transient heat transfer in wafer and layer of thermo-resist is analyzed by non-linear 3D finite element method. The numerical result demonstrates a drastic improvement in resolution that can be obtained with conventional method using photo-resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The linear-systems spatial frequency limit of diffraction- limited optical lithography is approximately NA/(lambda) , where NA is the optical system numerical aperture, and (lambda) is the exposure wavelength. Optical resolution enhancement techniques such as optical proximity correction, phase-shifts masks and off-axis illumination extend this resolution towards 2NA/(lambda) . Interferometric lithography (IL) for periodic patterns and imaging interferometric lithography for arbitrary patterns extends the frequency space coverage out towards the free-space linear systems transmission limit of 2/(lambda) . By taking advantage of inherent processing nonlinearities, higher spatial frequencies available by IL are reported. The first process is a variant of spatial frequency doubling in which two maskless IL processes combined with a moire alignment scheme are used to form a spatial frequency doubled grating at a period of d/2, where d is the original grating period. A first grating is written at period d, and linewidth approximately d/4, and transferred to a thin nitride film. A second IL grating, at the same period but shifted in phase by (pi) , is then interpolated to divide the period by two. A moire interference scheme is used to ensure proper alignment over large areas. This process has been used to frequency double a 360-nm period at I-line exposure to 180-nm period that was transferred into Si using KOH etching with the nitride film as the etch mask. A second example, using photoresist nonlinearities, is the formation of nanoscale square vias in a single photoresist level. This structure is formed by sequence including exposure of a grating, partial development of the resist, exposure of a second grating at right angles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Soft x-ray and extreme-UV emissions form plasmas produced using a gas puff target irradiated with a Nd:YAG laser has been investigated. The use of the gas puff targets, create by pulsed injection of high-density gas through a nozzle, eliminates the production of debris associated with solid targets. Laser pulses of either 0.9 ns or 10 ns time duration with energies up to 0.7 J were used to produce plasmas. Emissions in the 1-22 nm wavelength range from laser-produced gas puff plasma were characterized for various gases. The spectral measurements were performed with the use of grating spectrographs equipped with the back- illuminated CCD camera. The source sizes was measured using the Fresnel zone plate imaging system and the grating spectrograph equipped with a slit placed perpendicularly to the dispersion direction. The obtained results would allow to develop an efficient and debrisless laser-produced radiation source for applications in proximity x-ray lithography and extreme UV lithography technologies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technology of x-ray/EUV point plasma sources is competing with the multiple beam synchrotrons as radiation sources for submicron lithography. The company ALFT has been doing research and development on two plasma point sources for several years now. They are the vacuum spark (VSX) and spherical pinch (SPX) technologies. Both have a long history of previous research to support the contention that are well qualified to be converted into technological tools for the manufacturing of the next generation of IC chips. The VSX is essentially a miniature discharge capable of emitting soft x-ray radiation. Because the plasma emits a small dose of x- ray in each spark, it is necessary to repeat the phenomenon at high frequency in order to meet the requirement for microlithography. The SPX is mainly a strong source of EUV/X-ray radiation that operates at a frequency of one hertz or more.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe new high-brightness laser-plasma sources for x- ray and extreme UV (EUV) radiation. By utilizing a microscopic liquid-jet or liquid-droplet target the harmful emission of debris is significantly reduced or completely eliminated. The spectrum can be spectrally tailored by choosing a suitable liquid. In this paper we summarize our work on this type of source and include some recent developments on cryogenic liquified gases such as nitrogen and xenon. We believe that this new source is a suitable choice for EUV lithography as well as for proximity x-ray lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sergey V. Litvin, Vasily G. Kanaev, Elena G. Larionova, Nina V. Glazunova, Ludmila P. Gromova, Vasily I. Yurchenko, Nikolai A. Timchenko, Lubov A. Mezentseva, Vladimir Nazmov, et al.
Development of the present-day technologies of manufacturing deep structures with submicron elements based on the deep x- ray lithography method with the usage of synchrotron radiation. Microelectronics used x-ray patterns with a 1.0 micrometers golden masking covering on different-type membranes become of unfit in this case both from the angle of contrast and from the standpoint of radiation and heat stability. X- ray patterns with the membrane on the base of tantalum 2 micrometers thick, that are high contrasting as to synchrotron radiation with wavelength of 0.2 to 1.0 nm, have been developed and manufactured. A set of pores 0.7 micrometers in diameter 1.5 micrometers apart in two directions was formed as the topological pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of a low distortion mask is essential for advanced lithographic technologies to meet the allotted error budgets for sub-130 nm regimes. Predicting mask- related distortions is the first step in the design and optimization process. This paper presents the result of simulating mechanical distortions induced in the x-ray mask during fabrication and pattern transfer. Finite element (FE) models have been used to predict the out-of-plane distortions for the fabrication of the mask blank. Numerical data are in excellent agreement with experimental data. In- plane distortions due to the pattern transfer process have also been simulated for the IBM Falcon mask layout. Parametric studies illustrate the effect of system parameters on the final in-plane distortion results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Development of next generation mask technology requires the use of several different metallic materials. As a result, it is necessary to develop resist processes which offer a combination of good resolution and adhesion for each surface. In this study, Ultra i-300, a high resolution, chemically amplified, negative i-line resist was evaluated for use with several metal substrate materials. The metal films in the evaluation include: Cr, TaSi, TaSiN, and TiW. Early tests with Ultra i-300 using a baseline process optimized for silicon, provide very poor adhesion on these metal films. Several approaches were used to solve this problem including pre-application dehydration bakes, modified processing bakes, surface pretreatments, and use of anti-reflective coatings. Adjustment of the soft bake/post bake temperatures greatly improved adhesion, but resulted in severe standing waves and/or poor processing latitude. Significant improvements were achieved using AR2-600 a DUV anti-reflective coating (ARC) with a modified bake process. This eliminated standing waves, improved adhesion, and provided the best resolution and processing latitude. Other ARCs were also evaluated in an attempt to further optimize the process. Although the goal of this study was to develop a resist process for next generation mask technology, the results are applicable wherever it is desirable to use a negative i-line resists on metallic substrates.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Defect repair is a key component in fabricating a defect- free mask. Focused ion beam repair has been successfully used for x-ray masks. To repair an opaque defect the ion beam is used to mill away the excess absorber while clear defect repair requires beam assisted deposition of Au. Current x-ray mask repair tools specify edge placement accuracy of +/- 25 mask nm. However, the effects of non- ideal repairs on printed resist have not been investigated, and the tolerance of such errors have not been specified. In this study, reported defect printing was tracked and resists edge placement accuracy was measured to evaluate the non- ideal repair effects. In the opaque defect repair case, we observed inside the 'repair box', repaired mask errors such as sloped walls, remaining absorber and re-deposition outside the box and found that these errors shift the printed resist pattern edge toward the inside of the box. In the clear defect repair case, the deposited gold is typically extended out of the defined box by sloped side- wall and the printed resist pattern edge is shifted toward the outside of the box. These non-ideal repairs systematically affect resist pattern edge placement. An x- ray lithography simulation tool was used to analyze these effects. Preliminary by adjusting the 'repair box' size and etch/deposition time, the effects of non-ideal repair can be eliminated. Programmed defects were created on a mask and repairs were performed, evaluated and optimized with actual x-ray exposures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This article presents the alignment performance of the two- wavelength optical heterodyne alignment system in the x-ray stepper XS-1. The alignment accuracy obtained by the double- exposure method with a single mask and a Si trench wafer was better than 20 nm. The dependence of the alignment accuracy on Si trench depth indicated that the two wavelengths compliment each other and ensure a 3(sigma) of less than 20 nm. The alignment capabilities for other processed test wafers were also investigated by mix-and-match exposure. For etched SiO2 and poly-Si film on a Si trench, an accuracy below 20 nm was obtained. For AlSiCu film sputtered on etched SiO2, there appeared systematic alignment offsets depended on die position, which are thought to be due to a wafer-induced shift. The systematic offset errors were eliminated by the use of send-ahead wafer and corrections for individual offsets on each die, and thus the alignment accuracy was improved to 20-40 nm for each alignment axis. The two-wavelength heterodyne alignment system of the XS-1 has sufficient potential for 130-nm lithography and below.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports an on-wafer photoacid determination technique that can be used to quickly screen materials that function as photoacid generators (PAGs). The technique includes adding a small amount of a pH-sensitive fluorophore into the resist and exposing the resist to x-rays. The acid generated during exposure reacts with the fluorophore and quenches the fluorescence. The efficiency of photoacid generation is evaluated by comparing the degree of fluorescence quenching. This technique is nondestructive, fast, and does not significantly change the resist chemical properties given the low concentration of the added fluorophore. Six compounds that can generate hydrogen halides as potential PAGs were evaluated using this on-wafer technique and the lithographic performance was evaluated for comparison. The commercial resist, Shipley SAL 605, is used as a reference for comparison. The result showed that TBBPA gave higher photoacid generation efficiency that TCBPA and PBP, but lower than that in SAL 605. The results of fluorescence measurements agree with the results obtained using normalized remaining thickness measurements. The advantages, however, of this fluorescence technique are that it is simple, fast, and requires fewer processing steps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam lithography is favored as one of the options for microlithography of ICs at below 150 nm resolution regime. To assist lithography process development, computer simulations are widely used. Current simulation packages for electron beam lithography are only able to offer simple solutions. A new simulation package MOCASEL is presented in this paper which offers a total solution to many issues encountered in current and future application of e-beam lithography. A number of modules have been built into the package, which can simulate not only 2D and 3D resist profiles on a flat substrate but on a topographical substrate. Proximity effect correction can be simulated to check its effectiveness. Signals from alignment mark detection can be calculated. Heating effect due to e-beam irradiation of resist can be estimated. All these modules are explained in the paper with simulation examples in the form of 2D and 3D resists profiles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method for the proximity effects correction in electron beam lithography for layouts with critical dimensions below 180 nm is proposed. A parallel processing system based on an artificial neural networks is suggested as a solution to the problem. The algorithm for the learning vector generation is based on a discrete iterative regularization. Several results of the correction process for different test layouts are presented. Error analysis of the error measure is presented. The difference between the target dose and the doses deposited in each exel after the correction process is smaller than 5 percent. As a hardware implementation of the real time proximity effects corrector the radial basis functions neural system is proposed. Simulations of the Gaussian synapse cell have been done. Results of our simulations assure that our neurocorrector can precompensate for one exel from the layout in less than 60 ns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ZBA31H+) is a variable shaped spot, vector scan e- beam lithography system operating at 20 keV. The specified performance is designed to produce reticles to 250 nanometer design rules, and beyond. In November 98 the acceptance results of a newly installed Leica ZBA31H+), at Photonic Manchester, were presented in a paper at the VDE/VDI 15th European Conference on Mask Technology. This paper is a continuation of that work and presents data from a capability study carried out, on 4000 angstrom EBR9 HS31 resist. Analysis of: mean to target, uniformity, X/Y bias, isolated vs. dense linewidths, linearity, and registration performance of the tool is presented, and the effects of re- iterative develop on process capability compared. Theoretically, a shaped beam system has advantages over raster scan in terms of write time and edge definition capabilities. In this paper, comparative write times against an Etec Mebes 4500 system are included. The ZBA31H+) has to write very small polygons in order to image non-axial or non-45 degree features. The resulting effect on image quality and write time is investigated. In order to improve the fidelity of small OPC structures, Leica have investigated alternative writing strategies, and their results to data are presented here.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to improve the overlay accuracy in electron lithography, we have investigated the optimization of alignment key that included a ratio of alignment key according to scanning beam size, an optimum key depth/width, and material's dependency. The alignment repeatability of key, has the same ratio with scanning beam size, appears good results as compared with the other ratio. Scanning beam size also correlates with an alignment key width. As a process sequence of CMOS device, the key width of under layer is changed by the thickness of deposited materials, because of the deposition on side-wall. Therefore, the scanning beam size should be optimized for each step. In each material, there exists the critical thickness not affecting on the alignment reading repeatability. The standard deviation, which is calculated by measurement of key position with critical thickness, is less than 20 nm. We have results of the critical thickness of various materials. SiO2 and Si3N4 do not affect on the alignment signal, but doped WSix, Al, and doped poly-silicon are very sensitive because of back-scattering electrons. Using the optimized align key of WSix/doped poly-Si, the standard deviation was less than 10 nm. Otherwise, non-conducting layer must be etched more than 7000 angstrom. In this case, the standard deviation is larger than that of conducting materials, as more than 20 nm. We have results of optimum condition of alignment key in order to enhance the overlay accuracy. The standard deviation of total overlay accuracy is less than 50 nm which corresponds to 150 nm design rules device fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In electron lithography, resist heating is a serious practical problem that requires proper modeling. To decrease complexity of the problem, simplified representations of a single electron heat source were examined. This was shown that simplified descriptions can lead to significant errors in temperature simulation. These simple models can only be used for temperature simulation at a long time/long distance from the current electron flash. An analytic mode of electron scattering and Monte Carlo modeling have shown comparably good accuracy when used for thermal simulations. They both were embedded in TEMPTATION software tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The implementation of SCALPEL for post-optical production lithography generations, including mix-and-match options, involves unique issues in alignment and overlay. SCALPEL's use of stitching modifies the familiar analysis of overlay errors. Stitching may produce a small, localized image- placement error, but it creates negligible fixed image distortion. It also allows sub-field placement adjustments to correct some of the distortion errors in mix-and-match optimization. SCALPEL can use existing off-axis alignment sensor technologies, but a preferred electron back-scatter technique offers robustness and versatility. For high- throughput operation, a form of global alignment similar to that of full-field tools is likely, but implemented with the dynamic alignment mark scanning capabilities available in the writing strategy. Finally, it is expected that wafer- heating correction issues will factor into the coupled development of optimum writing and alignment strategies, possibly introducing novel mixed operating modes of fine alignment. We shall discus our present overlay error budgets, representing these unique challenges and opportunities for developing a high-throughput SCALPEL tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Controlling the dynamic response of the SCALPEL mask is important to ensure high throughput consistent with the stringent error budgets allocated for sub-130 nm lithography. In this paper experimental and numerical modal analysis result for the SCALPEL mask are presented and compared. These experimental result are used to verify and benchmark the finite element modeling efforts. The mode shapes and their respective frequencies provide valuable information for characterizing the out-of-plane and in-plane oscillations of the mask. The out-of-plane mode shapes were found experimentally with the use of both a Zygo interferometer and a Polytec scanning laser vibrometer. An additional application for out-of-plane oscillations involves a SCALPEL mask cleaning procedure, called the PLAMAX process, where the mask is resonated at its natural frequencies while surface particles are electrostatically charged in the present of a plasma and removed. The in-plane vibrations of the SCALPEL mask have also been investigated, since this is the primary excitation mode of the mask during exposure stepping. For experimental data, the in-plane modes were excited mechanically and detected using a MTI Fotonic sensor fiber-optic probe.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUVL is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA roadmap well into the 21st Century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme UV at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80 percent of the exposure plane CD will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm2 at 80 nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm2 for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm2 in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have simulated the optical behavior of a new reflective APSM by utilizing the optical multilayer thin film theory. In a typical Mo/Si multilayer structure, we show that the requirement of a reflective APSM can be met simply by adjusting the thickness of the over-coated Ge layer. A phase shift of 180 degrees results when compared light reflected from a Ge absorption layer to that form a Mo/Si multilayer, and the resultant reflectance ratio is in the range of 4-15 percent. No additional phase shifting layer is needed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With EUV lithography emerging as a promising technology for semiconductor device fabrication with critical dimensions <EQ 100 nm, it is of importance to understand the image formation process in detail. The proposed setup includes a reflective mask consisting of an absorbing material over- coated on a stack of multilayers and 4X de-magnifying optics. In this paper we consider the reflective mask alone i.e. excluding the condenser system and the optics and characterize the reflective properties of the extreme ultra violet mask. In particular, we show the effects caused due to diffraction, non-uniformities in the multilayer stack due to substrate defects, and partial spatial coherence. Several simulation examples are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic masks must maintain dimensional stability during exposure in a wafer stepper. In extreme UV lithography, multilayer coatings are deposited on a flat mask, substrate to make the mask surface reflective at EUV wavelengths. About 40 percent of the incident EUV radiation is absorbed by the multilayer coatings causing a temperature rise. The choice of mask substrate material affects dimensional stability due to thermal expansion and/or deformation. Finite element modeling has ben used to investigate the proper choice of mask substrate material and to explore the efficacy of various thermal management strategies. This modeling indicates that significant machine design and engineering challenges are necessary in order to employ Si as a mask substrate. Even if these challenges can be met, the thermal expansion of Si is likely to be too large to meet overlay error budgets for lithography at ground rules beyond the 100 nm technology node. ULE - a single phase, fused silica glass doped with titania - has near zero thermal expansion at the temperatures where EUV lithography is performed. Due to its small coefficient of thermal expansion, ULE does not undergo appreciable instantaneous or transient thermal expansion that results in image placement error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have dramatically increased the sensitivity of a technique for the rapid inspection of EUV multilayer-coated mask blanks. In this technique an EUV sensitive resist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. Reflectivity defects in the mask blank results in mounds in a partially developed positive resists that appear as high contrast objects in a standard Nomarski microscope. The use of a higher contrast resist is shown experimentally to result in the creation of dramatically taller mounds. A simple model for the exposure and development of the resists has been developed and the predictions of the model compare well with the experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The strong attenuation of EUV radiation in organic materials has necessitated the use of a thin layer imaging (TLI) resist for lithographic patterning. We have studied several TLI processes for EUV and found the use of an ultra-thin single layer resist (UTR) over a hardmask is a plausible resist system. We have developed new EUV resist system based on DUV chemical approaches. These EUV resist pattern features as small as 70 nm L/S and 70 nm isolated features. The UTR process shows high sensitivity and low line edge roughness compared to other thin layer imaging resists processes such as top-surface imaging. The advantage of these UTR resists is the current familiarity in the industry with processing and materials development. We have also ben able to address one of the main concerns surrounding such thin resists, and we have found they are sufficient to pattern the hard mask with enough resist remaining.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have used picosecond ultrasonic techniques as a nondestructive detection tool to characterize Mo/Si multilayer reflectors for EUV. The lowest two localized acoustic-phonon surface modes were simultaneously observed in our samples with various d and (Gamma) values. The vibration frequencies of these surface modes depend both on d and (Gamma) , and can be used to extract these two parameters. We mapped the thickness profile of a linearly graded Mo/Si multilayer sample with 2 percent d-variation from the two edges towards the center. The dependence of the vibration frequencies on (Gamma) was also studied theoretically and experimentally, and was found to be separable from the dependence on d.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recent experiments with four 10x EUV imaging systems provide the first direct comparisons of visible-light and at- wavelength EUV interferometers performed using the state-of- the-art measurement tools that will be used to assemble and align the next generation of EUV imaging systems. Measurements from four individual multilayer-coated Schwarzschild objectives are discussed. Favorable agreement has been achieved between EUV and visible-light system wavefront measurements in all four optical systems. Measurements made in the presence of surface contamination and multilayer thickness variation, however, do show expected localized differences between the two measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ease of manufacture and testing spherical optical surfaces has made them the default choice for optical systems. Optical designs could greatly benefit form aspheric surfaces; the use of aspherics for projection photolithography in particular puts increasingly greater demands on optical manufacturing. Extreme UV (EUV) lithography requires all reflective elements, some of which will likely be strong aspheres. Modeling software and manufacturing have outpaced aspheric metrology, and we must be able to measure an optical component to have any hope of fabricating it. We seek to extend the dynamic range of optical interferometry to include aspheric surface metrology. We employ two wavelengths to create a vernier effect, allowing the measurement of larger departures without fundamentally sacrificing measurement accuracy. Such large departures impose more rigorous specifications on the interferometer. We explain the new challenges in the acquisition and interpretation of aspheric surface data, and compare to conventional spherical testing. The interferometer optical components are modeled using OSLO SIX design tools. Preliminary experimental results confirm the theory of operation. Some obstacles to practical implementation were also observed, and will be addressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The wavefront reflected from extreme UV lithography mirror and mask surfaces can contain a non-negligible amount of phase variation due to roughness of the mirror surface and variations in multilayer thin-film coatings. We examine the characteristics of image and pattern formation as a function of phase variations originating at the mask surface and at condenser mirrors. A theoretical development and a Monte- Carlo simulation are used to show relationships between statistics of the phase variations and the mask pattern, coherence factor, and numerical aperture of the projection camera. Results indicate that it is possible to produce nearly 1 percent line-edge roughness in a photoresist pattern from moderate values of phase variations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Comparison of misalignment modes associated with metrology data nd projection-camera performance can be used to increase the sensitivity of metrology measurements to specific camera-performance specifications such as chief-ray distortion. Selection of measurable misalignment modes in the case of metrology and interesting misalignment modes in the case of camera performance is based on a determination of whether a mode can 'fit' into a projection camera given actuator-stroke and mirror tilt bounds. Measurement and interest subspaces are next compared using distance between subspaces. As an example of this type of analysis, we find that exit-pupil wavefront measurements can be made more sensitive to chief-ray distortion if these measurements are collected at field positions outside the ring field of view of an EUVL projection camera.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high-average-power extreme UV (EUV) source based on a laser plasma cluster jet is being developed for EUV lithography. The source employs a cooled supersonic nozzle expansion to produce a dense beam of Xe clusters as the plasma source target. The cluster beam is irradiated with a pulsed laser to create a high-temperature plasma radiating efficiently in the EUV spectral region. To accommodate drive laser repetition rates of up to 6000 Hz, a continuous jet expansion with full Xe gas recycling is employed, rather than earlier pulsed jet expansions. The continuous jet employs an efficient high-throughput pumping scheme to minimize the ambient pressure highly-attenuating Xe gas. Source power scale-up is achieved by increasing laser repetition rate, keeping laser pulse parameters nominally fixed. In the first phase of EUV power scale-up, the continuous cluster jet source has been integrated with a 200 W laser driver operating at repetition rates up to 500 Hz. With this system, a laser-to-EUV conversion efficiency of 0.69 percent is achieved. In the second phase, the jet is being integrated with a 1700 W diode-pumped solid sate laser driver operating at repetition rates up to 6000 Hz. A brief description of the 1700 W laser system and its integration with the continuous cluster jet are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Finite element models have been developed and refined to simulate the mechanical distortions associated with mask blank fabrication, pattern transfer, and exposure clamping. By modeling the substrate with layers associated with the mask fabrication process and then by prestressing specified layers, the resulting out-of-plane and in-plane distortions of the mask blank have been determined. Etching procedures were subsequently simulated to assess the pattern transfer distortions associated with both dark and bright field masks. Investigations included substrate materials which have acceptable optical transmission for wavelengths below 180 nm. Additional mechanical distortions associated with clamping the reticle into the exposure mount have also been considered.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
If optical lithography is to be extended into the 157 nm regime, controlling mask-related distortions will be a necessity. Thermomechanical distortions during exposure could be a major source of pattern placement error, especially if alternative materials such as CaF2 or MgF2 are employed. Full 3D finite element heat transfer and structural models have been developed to simulate the response of the reticle during both full-field and scanning exposure systems. Transient and periodic steady-state temperature distributions have been determined for typical exposure duty cycles. Corresponding in-plane and out-of- plane thermal distortions have been identified for both fused silica and calcium fluoride substrates. Under equivalent exposure conditions, the distortions in the CaF2 are significantly higher.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As one of the Next Generation Lithographies, Ion-beam Projection Lithography (IPL) will be subject to strict error budgets for the sub-130 nm regime and will require high patter placement accuracy. Meeting these stringent conditions in a timely and cost-effective manner will depend upon accurate predictions of the mechanical distortions induced in IPL stencil masks during fabrication and pattern transfer. To simulate pattern transfer, finite element (FE) structural models of the stencil masks have been developed to predict distortions due to the fabrication of voids in stressed mask membranes. In this paper, an application of FE modeling for stencil masks has been demonstrated using both the IBM Falcon pattern and more uniform patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Meeting the stringent requirements on pattern placement in the sub-130 nm regime will be a challenge for any Next Generation Lithography. A key issue for all technologies will be the development of a low distortion mask. This paper describes the thermomechanical simulations performed on the ion-beam projection lithography (IPL) mask to predict distortions during exposure. Pattern-specific global distortions are identified using equivalent modeling techniques, which are based upon the use of equivalent thermal properties are presented. Finite element heat transfer and structural models have been developed to employ these equivalent properties. To demonstrate the modeling procedures, predictions of the thermomechanical response of the stencil mask for the IBM Talon layout were performed. The finite element results illustrate that by optimizing the design parameters of the exposure system, IPL mask distortions can be controlled to meet the allotted error budgets.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermoresists offer the possibility of greatly enhanced resolution and process window, mainly depth of focus, using conventional masks and modified conventional steppers. Unlike photoresists, which respond to total exposure, thermoresists ignore all exposures below their threshold provided that the exposures are separated in time by more than a few tens of nanoseconds. This allows thermoresists to ignore sidelobes and stray light that result from improving the resolution and depth of focus if nearby features are not imaged at the same time. Conventional steppers can be modified by adding a piezoelectrically-scanned microlens array above the mask and by adding an apodizing function to the reduction lens system. The microlens array eliminates all optical proximity effects by breaking the image into an array of dots that are moved between pulses of the stepper's laser, causing image features to be separated in time as well as space. Thermoresists also offers an advantage when imagin on non-planar materials if the intensity of the exposure is adjusted so that image spots will only reach the threshold of the resist when they are in focus. By making multiple image exposures with the focus shifted towards and away from the resist, a high-resolution image can be produced on a rough surface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Conventional scanning electron microscopes are now close to the limit of their performance for tasks such as the metrology of sub-micron design rule devices. In order to overcome these limits we are investigating the use of in- line electron holography for device metrology. This device will use ultra-low energy electrons emitted from a nano-tip electron source. Out of focus holograms of a mesh were simulated and reconstructed in the transmission mode of the microscope whereas in the reflection mode a sample consisting of only two points was used. In both operation modes of the microscope it is possible to change the distance from the point source to the sample and the distance from the sample to the detector plane such that the magnification is kept constant. Series of simulated holograms consisting of only a few points reveal the distances resulting in the easiest interpretable images. When in-line holography is performed using electrons, the beams are deflected by the electric field between point source and sample. Ray tracing of the electrons performed by solving the appropriate Laplace equation can help to determine an optimum geometry for the microscope.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a new counter-masking technique to pre- planarize Shallow Trench Isolation before Chemical Mechanical Polishing (CMP). A pre-planarization step is necessary since CMP alone cannot provide effective planarization for sub 0.18 technology due to dishing effect. The pre-planarization step uses the principle of Two Layer Planarization technique which consists in spin-coating a first photoresist layer, using a counter-mask for the lithographic step, flowing and curing the resist blocks in STI topographies, spin-coating a second photoresist layer to planarize the residual topography and transferring the final flat surface into the substrate using conventional plasma etch-back. In difference with previous techniques, we used a special mask with oversizing and exclusion of all STI critical dimensions smaller than 1.55 micrometers , the zones with the smaller STI dimensions being masked using a special narrow lines grid. Such a masking strategy avoids any misalignment problem, the resized first photoresist blocks are reflowed in STI topographies, leading to an easy planarization by the second resist layer. Additionally, the lithographic step is a non-critical step using conventional i-line resist. Using appropriate planarization modelization and simulation, the first layer thickness can be adjusted to get an effectively planarized topography. The final surface is then transferred into the oxide substrate using a gas mixture in a LAM 4520 plasma etching equipment. Finally, the pre-planarized wafer is polished by CMP, resulting in an effectively planarized topography with residual topography smaller than 50 nm. The technique is a non-critical lithographic technique scaleable for technologies below 0.18 micrometers .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The phenomenon of anisotropic ablation of PTFE under SR is studied. Heat conductivity and melting point of PTFE came down under irradiation. The possibility of SR-assisted formation of regular microporous membranes with average diameter of 0,5 micrometers of the pores in PTFE films is investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical deep UV (DUV) lithography is aiming to reach feature sizes of below 100 nm. The likely choice of the exposure wavelength will be 157 nm, which is emitted by the F2 excimer laser. Experience with this laser type in a variety of applications has been gained at Lambda Physik for the past 20 years. A major breakthrough in performance, in particular laser efficiency and durability, was achieved with the introduction of our metal ceramic laser tube in 1996. In this paper, we report on the progress in the development of the F2 laser light source. A major advance in narrowing the bandwidth of a 10W laser is the achievement of output spectral width of about 1 pm. With a newly developed NovaTube based F2 discharge chamber we show more than 19 million pulses gas lifetime without any additional gas actions. The laser achieves up to 1 kHz repetition rate. Energy stability sigma is 1 percent, dose energy stability 0.5 percent. The performance characteristics as temporal and spatial beam profile and the suitability the laser for microlithography are discussed. Typical lifetimes of the key components and a projection of the present and future cost of operation are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Aerial images for line/space patterns, arrays of posts and an arbitrary layout pattern are calculated for EUV masks in a 4X EUV imaging system. Both mask parameters and illumination parameters are varied to investigate their effects on the aerial image. To facilitate this study, a parallel version of TEMPEST with a Fourier transform boundary condition was developed and run on a network of 24 microprocessors. Line width variations are observed when absorber thickness or sidewall angle changes. As the line/space pattern scales to smaller dimensions, the aspect ratios of the absorber features increase, introducing geometric shadowing and reducing aerial image intensity and contrast. 100nm square posts have circular images of diameter close to 100nm, but decreasing in diameter significantly when the corner round radius at the mask becomes greater than 50 nm. Exterior mask posts image slightly smaller and with higher ellipticity than interior mask posts. The aerial image of the arbitrary test pattern gives insight into the effects of the off-axis incidence employed in EUV lithography systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 1Hz helium-xenon Z-pinch previously described has been re-engineered with long-life thyratron switches to operate at 10Hz. At 10Hz, without a condenser, it currently delivers 0.2W in a 4 Angstrom band centered at 134.5A, into a solid angle of 0.03 sterad. We report on measurements of scaling of the in=-band power with stored energy, and optimization of the spectrum, either for operation at 134A with Mo-Si multilayer mirrors, or at shorter wavelengths such as 113A for use with Mo-Be mirrors. We have measured an rms pulse- to-pulse amplitude stability of 2.1 percent. No measurable loss of transmission at 134A occurred in a 250 nm silicon nitride membrane placed at 52nm axially from the plasma during a 105 pulse run at full energy, indicating that the source is clean. Electrode and pinch liner erosion is not significant in test to the 106 pulse level and the life of these components is projected to exceed 5 X 107 pulses. the possible extension to a narrow beam of at least 4W in-band 134A, via an increase of the repetition rate to 100Hz and solid angle to 0.06 sterad, is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An alternative approach to lithography is being developed based on a dual-layer imprint scheme. This process has the potential to become a high-throughput means of producing high aspect ratio, high-resolution patterns without projection optics. In this process, a template is created on a standard mask blank by using the patterned chromium as an etch mask to produce high-resolution relief images in the quartz. The etched template and a substrate that has been coated with an organic planarization layer are brought into close proximity. A low-viscosity, photopolymerizable formulation containing organosilicon precursors is introduced into the gap between the two surfaces. The template is then brought into contact with the substrate. The solution that is trapped in the relief structures of the template is photopolymerized by exposure through the backside of the quartz template. The template is separated from the substrate, leaving a UV-curved replica of the relief structure on the planarization layer. Features smaller than 60 nm in size have been reliably produced using this imprinting process. The resolution silicon polymer images are transferred through the planarization layer by anisotropic oxygen reactive ion etching. This paper provides a progress report on our efforts to evaluate the potential of this process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multilayer mirror coatings which reflect extreme UV (EUV) radiation are a key enabling technology for EUV lithography but must meet stringent requirements in terms of film quality, stability, and thickness control across multi optical elements up to 300 nm in diameter. Deposition technology has been dramatically improved to meet those specifications for thickness control and repeatability over large curved optical substrates. Coating uniformity was improved to +/- 0.055 percent peak-to-valley (P-V) on 140- mm flats and +/- 0.1 percent P-V across 160 mm curved substrates. the run-to-run reproducibility of the reflectance peak wavelength was improved to 0.13 percent on flats to enable fabrication of wavelength-matched sets of optics. Multilayers with reflectances of 67.5 percent at 13.42 nm and 70.2 percent at 11.34 nm are typically achieved for Mo/Si and Mo/Be multilayers, respectively. Also, we have recently achieved a reflectance of 70.1 percent at 13.5 nm for a Mo/Si multilayer deposited with a modified process. The reflectance and stress of these multilayers appear to be stable relative to the requirements for application to EUV lithography. These improvements in EUV multilayer mirror technology enable us to meet the stringent specifications for coating the large optical substrates for our next- generation EUV lithography system. The primary remaining issues are improving the run-to-run wavelength repeatability on curved optics to realize the maximum optical throughput, and verifying long-term stability of the multilayers within the environment of a production EUV lithographic system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two new sets of projection optics for our prototype 10X reduction EUV lithography system were coated with Mo/Si multilayers. The coating thickness was graded across the optics by using shadow masks to ensure maximum throughput at all incidence angles in the camera. The overall deviation of the wavelength response across the clear aperture of each mirror is below 0.01 percent RMS. However, the wavelength mismatch between two optics coated in different runs is up to 0.07 nm. Nevertheless, this is till within the allowed tolerances, and the predicted optical throughput loss in the camera due to such wavelength mismatch is about 4 percent. EUV reflectances of 63-65 percent were measured around 13.40 nm for the secondary optics, which is in good agreement with the expected reflectance based on the substrate finish as measured with AFM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report the results of an in situ study of reaction and the glass transition in exposed films of positive chemically amplified resists. Local thermal analysis was performed on exposed patterns in thin films of APEX-E. We measure the activation energy of reaction int he exposed resist to be 132 +/- 5 kJ/mol. Post-exposure delay effects were shown to reduce the reaction rate in the pattern by as much as 14 percent. Finally, we used local thermal analysis to determine the effect of reaction on the glass transition temperature in exposed APEX-E. We find that the glass transition temperature increased linearly by as much as 20 degrees C after complete de-protection matrix. We present a detailed examination of the use of differential thermal analysis to study reaction and the glass transition with a local thermal probe.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Projection photolithography at 157 nm is now under research as a possible extension of current 248 nm and planned 193 nm technologies. However, the conventional silica glass used for 248 nm and 193 nm lithography cannot be applied for 157 nm lithography because of its low transmittance. In order to develop the modified silica glass for 157 nm lithography, the transmittance in the vacuum-UV region and the optical properties induced by vacuum-UV irradiation were investigated. The OH group and the ODC in the silica glass markedly affect the initial transmittance at 157 nm and the former also affects the resistance to vacuum -UV irradiation. The hydrogen bonded OH group was observed after vacuum-UV irradiation. From these results, the new silica glass 'AQF' for 157 nm lithography has been successfully developed with a high internal transmittance at 157 nm and a excellent resistance to F2 laser.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper analyzes and demonstrates the possibility of producing lithographic images at or below the 'diffraction limit' for synchrotron radiation-based x-ray proximity lithography. It is shown that at reasonable mask/wafer gaps of 15-30 micrometers , for feature sizes down to approximately 100 nm, a 30-40 nm uniform positive bias is observed. In proximity lithography, masks with clear features on a dark background demonstrate better linewidth control and more stable process optimization in terms of achieving smaller features: Sub-100 nm imaging requires positive bias for mask features: clear features have to be increased in sizes and the proper bias will depend on the mask/wafer gap. Features down to 43-46 nm have been formed in negative resists, and down to 60 nm in positive resist. The extendibility of synchrotron radiation-based x-ray proximity lithography into the sub-50 nm region at reasonable mask/wafer gaps of 20-30 micrometers was demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two new Schwarzschild cameras have been fabricated for the EUV 10x microstepper. The surface topography of the mirrors was characterized over the full range of spatial frequencies both before and after multilayer coating. EUV scattering from the individual mirrors was measured and compared with the surface profilometry. A knife-edge test was used to directly measure the flare of the assembled cameras. The flare measured in this way is in excellent agreement with the contrast of isolated printed lines and with the point spread function of the camera as determined by EUV interferometry. The measured flare of the camera is also in good agreement with the flare calculated from the combined surface profile measurements of the individual mirrors. Consistent with the improvements made in the surface finish of the mirror substrates, a significant reduction in the flare is observed as compared with previously existing cameras.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of silica glass for the photomask material in 157-nm lithography tools is proposed. While fused silica enjoys widespread application for 248 and 193-nm optics, its use for 157-nm applications has been largely discounted, in part because of low transmittance at this wavelength. It is demonstrated here that silica glass can be made to have high transmittance at 157-nm. This is accomplished by minimizing the OH content of the glass. It is also noted that the thermal and mechanical properties of so-called dry silica are very close to higher OH silicas that are commonly used for lithography applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Despite growing expectations of significant progress in projection lithography using shorter wavelengths, x-ray lithography is still the most developed and production ready technology compared with the other NGL approaches. For the timely introduction of this technology into the manufacturing environment the development of fully integrated x-ray lithography systems becomes very important. Reflecting manufacturing and R and D demands, the x-ray technology integration has been pursued for goth synchrotron radiation and x-ray point source based approaches. While the synchrotron-based approach provides the high volume platform, the point source will provide the platform for low volume production and R and D efforts. SAL recognizes the needs for both, a synchrotron based stepper as well as a point source stepper and is focused on meeting those needs. This paper will present the status of integration efforts at SAL utilizing a point source system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new European research program named EUCLIDES (Extreme UV Concept Lithography Development System) has been started in August 1998. The program headed by ASM Lithography (ASML), partnered by Carl Zeiss and Oxford Instruments, is evaluating EUVL as a viable lithographic solution for resolutions of 70 nm and below. This paper gives an overview of program objectives and status, including a summary of recent highlights.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Results of numerical studies on the deformation of multilayer coated optics for EUV lithography are presented and compared. The primary materials-dependent characteristics of EUV multilayer mirrors are reflectance, stress, and stability. Understanding and controlling these mirror characteristics is critical for the efficient operation of an EUVL system. Optimized for reflectance, the multilayer coatings typically have film stress values large enough to deform the optic. We use finite element models to predict the distortion caused by the film stress. Input parameters to the models include the size, shape, and material properties of the optical substrate as well as the magnitude and spatial variations of the film stress. The distortion results are coupled with optical analysis software to predict wavefront aberrations for the candidate optical design. Finally, lithographic analysis software is used to predict the aerial image and linewidth variation. Model predictions have guided the design of the optical substrates so that lithographic errors induced by film- stress-deformation are expected to be within the acceptable error budget.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Calculations were carried out to study the effectiveness of a gas curtain for mitigating contamination form hydrocarbon gases in extreme UV (EUV) lithography tools. The momentum, energy and mass transport in the curtain and surrounding regions were calculated using a 2D finite volume model of a lithography tool. Argon was used for the gas curtain. The results from the calculations quantify the relationship between the argon flow rate and the hydrocarbon partial pressure in the region of the optical elements. In general, the hydrocarbon partial pressure decreases as the mass transfer Peclet number, Pe equals Vh/D, increases, where V is the velocity of the argon, h is the thickness of the gas curtain and D is the mass diffusivity. An argon flow rate of 12.2 torr 1/s is required to reduce the hydrocarbon partial pressure from 10-7 to 10-10 torr in the specific lithography tool considered here. The EUV transmission in the tool with the gas curtain is 0.93. This is a large improvement over the 0.5 transmission that would result by using a solid filter to contain the hydrocarbon gas.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Results of electron beam exposure of a DUV sensitive negative tone photoresists composed of a novolak/aromatic bisazide system are presented. Contents of the components of the resist solution were varied to cover a wide range of film thicknesses and to attain optimal performance of the resist. Dense patterns with dimensions of 100 nm and below of the resists patterned by electron beam exposure demonstrate its excellent resolution capability and the possibility to generate patterns with steep side walls and high aspect ratios.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Normal-incidence reflectivity data of Mo/Si multilayer systems are being reported for the EUV wavelength range.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A dense plasma focus (DPF) device has been investigated as a source for EUV lithography. Initial characterizations have been made of a prototype DPF employing an all-solid-state pulse power drive. Using the results from a vacuum grating spectrometer combined with measurements with a silicon photo diode, it has been found that substantial amounts of radiation within the reflectance band of Mo/Si mirrors can be generated using the 13.5 nm emission line of doubly ionized Lithium. This prototype DPF converts 25J of stored electrical energy per pulse into approximately 0.76J of in- band 13.5nm radiation emitted into 4(pi) steradians. The pulse repetition rate performance of this device has been investigated up to its DC power supply limit of 200Hz. No significant reduction in EUV output was found up to this repetition rate. At 200Hz, the measured pulse-to-pulse energy stability was (sigma) equals 6 percent and no drop out pulses were observed. The electrical circuit and operation of this prototype DPF device is presented along with a description of several future modifications intended to improve stability and efficiency.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.