Open Access Paper
24 April 2024 Front Matter: Volume 12957
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 12957, including the Title Page, Copyright information, Table of Contents, and Conference Committee information.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XLI, edited by Douglas Guerrero, Gilles R. Amblard, Proc. of SPIE 12957, Seven-digit Article CID Number (DD/MM/YYYY); (DOI URL).

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510672208

ISBN: 9781510672215 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)

SPIE.org

Copyright © 2024 Society of Photo-Optical Instrumentation Engineers (SPIE).

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of fees. To obtain permission to use and share articles in this volume, visit Copyright Clearance Center at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00003_PSISDG12957_1295701_page_2_1.jpg

Paper Numbering: A unique citation identifier (CID) number is assigned to each article in the Proceedings of SPIE at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Conference Committee

Symposium Chair

  • Qinghuang Lin, LinkTech International (United States)

Symposium Co-chair

  • John Robinson, KLA Corporation (United States)

Conference Chair

  • Douglas Guerrero, Brewer Science, Inc. (United States)

Conference Co-chair

  • Gilles R. Amblard, Samsung Austin Semiconductor LLC (United States)

Conference Program Committee

  • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • Luisa D. Bozano, Applied Materials, Inc. (United States)

  • Robert L. Brainard, State University of New York Polytechnic Institute (United States)

  • Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • James F. Cameron, DuPont Electronics and Imaging (United States)

  • Sonia Castellanos, Inpria Corporation (United States)

  • Ralph R. Dammel, EMD Electronics (United States)

  • Anuja De Silva, Lam Research Corporation (United States)

  • Danilo De Simone, imec (Belgium)

  • Roel Gronheid, KLA Corporation (Belgium)

  • Masahiko Harumoto, SCREEN Semiconductor Solutions Co., Ltd. (Japan)

  • Clifford L. Henderson, The University of Alabama (United States)

  • Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme IPMS (Germany)

  • Dario L. Goldfarb, IBM Thomas J. Watson Research Center (United States)

  • Scott W. Jessen, Texas Instruments Inc. (United States)

  • Jing Jiang, Applied Materials, Inc. (United States)

  • Yoshio Kawai, Shin-Etsu Chemical Co., Ltd. (Japan)

  • Tetsu Kohyama, Nihon Entegris G.K. (Japan)

  • Marie E. Krysak, Intel Corporation (United States)

  • Qinghuang Lin, Lam Research Corporation (United States)

  • Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

  • Warren Montgomery, EMD Electronics (United States)

  • Tomohiro Oikawa, Tokyo Ohka Kogyo America, Inc. (United States)

  • Jara Garcia-Santaclara, ASML Netherlands B.V. (Netherlands)

  • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

  • Jason K. Stowers, Inpria Corporation (United States)

  • Ankit Vora, Meta (United States)

  • Xisen Hou, DuPont Electronics and Imaging (United States)

© (2024) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 12957", Proc. SPIE 12957, Advances in Patterning Materials and Processes XLI, 1295701 (24 April 2024); https://doi.org/10.1117/12.3031878
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Stochastic processes

Photoresist processing

Electron beam lithography

Photoresist materials

Ion beam lithography

Lithography

Back to Top