Open Access Paper
6 July 2022 Front Matter: Volume 12055
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 12055, including the Title Page, Copyright information, Table of Contents, and Conference Committee listings.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XXXIX, edited by Daniel P. Sanders, Douglas Guerrero, Proc. of SPIE 12055, Seven-digit Article CID Number (DD/MM/YYYY); (DOI URL).

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510649859

ISBN: 9781510649866 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)

SPIE.org

Copyright © 2022 Society of Photo-Optical Instrumentation Engineers (SPIE).

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of fees. To obtain permission to use and share articles in this volume, visit Copyright Clearance Center at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00165_psisdg12055_1205501_page_2_1.jpg

Paper Numbering: A unique citation identifier (CID) number is assigned to each article in the Proceedings of SPIE at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Conference Committee

Symposium Chair

  • Kafai Lai, University of Hong Kong (United States)

Symposium Co-Chair

  • Qinghuang Lin, LAM Research Corporation (United States)

Conference Chair

  • Daniel P. Sanders, IBM Research - Almaden (United States)

Conference Co-Chair

  • Douglas Guerrero, Brewer Science, Inc. (United States)

Conference Program Committee

  • Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • Robert L. Brainard, SUNY Polytechnic Institute (United States)

  • Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • James F. Cameron, DuPont Electronics & Imaging (United States)

  • Sonia Castellanos Ortega, Inpria (United States)

  • Joy Y. Cheng, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

  • Anuja De Silva, Lam Research Corporation (United States)

  • Danilo De Simone, IMEC (Belgium)

  • Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

  • Masahiko Harumoto, SCREEN Semiconductor Solutions Company, Ltd. (Japan)

  • Clifford L. Henderson, University of South Florida (United States)

  • Craig D. Higgins, GLOBALFOUNDRIES Inc. (United States)

  • Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme (Germany)

  • Scott W. Jessen, Texas Instruments Inc. (United States)

  • Jing Jiang, Applied Materials, Inc. (United States)

  • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan)

  • Marie E. Krysak, Intel Corporation (United States)

  • Qinghuang Lin, Lam Research Corporation (United States)

  • Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

  • Warren Montgomery, Irresistible Materials Ltd. (United States)

  • Tomohiro Oikawa, Tokyo Ohka Kogyo America, Inc. (United States)

  • Jara Garcia-Santaclara, ASML Netherlands B.V. (Netherlands)

  • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

  • Jason K. Stowers, Inpria Corporation (United States)

  • Raluca Tiron, CEA-LETI (France)

  • Thomas I. Wallow, ASML Brion Technologies (United States)

  • Rudy J. Wojtecki, IBM Research - Almaden (United States)

  • Aiwen Wu, Entegris, Inc. (United States)

  • Ankit Vora, Facebook Technologies, LLC (United States)

© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 12055", Proc. SPIE 12055, Advances in Patterning Materials and Processes XXXIX, 1205501 (6 July 2022); https://doi.org/10.1117/12.2643338
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Image processing

Stochastic processes

Photoresist processing

Optical lithography

Instrument modeling

Performance modeling

Back to Top