Presentation
19 March 2018 Manufacturing directed self assembly flows enabled by advanced materials (Conference Presentation)
Author Affiliations +
Abstract
Material challenges for DSA Directed Self-Assembly (DSA) of block copolymers are accompanied by processing automation and throughput complexity for successful implementation into manufacturing. Our goal was to address the ability of this complementary lithography technique to offer streamlining with respect to thermal anneal time, lowering it from hours to minutes. In addition, the standard high temperature anneal at 250-270˚C was decreased to 160-180˚C with low levels of defects measured. This DSA requirement designed to shift to low temperatures for thermal annealing is primarily due to low Tg monomers incorporated into the copolymer blocks. Chemoepitaxy DSA processes can be simplified significantly with more potential as a result of lower Tg. 193i nm lithography continues to be utilized for creating guiding patterns that provide the ability to achieve pattern density multiplication and defect rectification. In parallel, we are providing additional chemistry enhancement techniques for feature sizes <10 nm by improving wet etch pattern transfer capability specifically targeted to mitigate pattern collapse. Dry etch process steps for removal of one block, followed by pattern transfer into hard mask layers are enhanced with the incorporation of monomers into the PS block of the high chi BCP with the intent to minimize issues due to low selectivity values. Etch selectivity has been increased from 3:1 to 6:1 with customizing the copolymer blocks. Due to the fact that the practical application of high chi DSA continues to face technical and material challenges in comparison to standard PS-b-PMMA process steps, BSI achieved low temperature and short time block copolymer annealing with the innovation of material enhancement chemistry. Specially designed materials have been developed to improve material robustness for pattern fidelity after etching multi-stack spin on layers for DSA requirements. We have enabled the extension of the functionality and capability of our block copolymer (BCP) materials in order to easily transfer a DSA flow into manufacturing in addition to no need for top-coat or solvent annealing to get perpendicular orientation as we reported before1.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Mary Ann J. Hockey "Manufacturing directed self assembly flows enabled by advanced materials (Conference Presentation)", Proc. SPIE 10584, Novel Patterning Technologies 2018, 105840O (19 March 2018); https://doi.org/10.1117/12.2304949
Advertisement
Advertisement
KEYWORDS
Directed self assembly

Manufacturing

Etching

Annealing

Chemistry

Lithography

Dry etching

RELATED CONTENT

Application of dry etching to 1-Gb DRAM mask fabrication
Proceedings of SPIE (September 01 1998)
Investigation of a negative i line resist with the CORE...
Proceedings of SPIE (December 07 1994)
Mask fabrication in the USA
Proceedings of SPIE (September 01 1998)

Back to Top