Presentation + Paper
31 October 2017 CLMPC: curvilinear MPC in a mask data preparation flow
Author Affiliations +
Abstract
Curvilinear mask shapes have become one of the resolution enhancement technology options in optical lithography. While this technology has been demonstrated already at the 65 nm node [1], it becomes a more important option beyond the 14 nm node. One of the limiting factors for deploying curvilinear mask shapes for sub-14nm nodes is the need for mask process corrections (MPC). A solution for Curvilinear MPC (CLMPC) is demonstrated and discussed in this paper along with various options for the mask data preparation flows for VSB mask writers and raster based Multi-Beam mask writers. Mask Rule Check (MRC) is identified as a critical step in this data preparation flow for curvilinear shapes, and it is demonstrated that model-based MRC is a viable solution for curvilinear mask shapes.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ingo Bork, Murali Reddy, Bhardwaj Durvasula, Nageswara Rao, Malavika Sharma, and Peter Buck "CLMPC: curvilinear MPC in a mask data preparation flow", Proc. SPIE 10451, Photomask Technology 2017, 1045109 (31 October 2017); https://doi.org/10.1117/12.2282502
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Optical proximity correction

Model-based design

Semiconducting wafers

Data modeling

Lithography

Calibration

RELATED CONTENT


Back to Top